From 2c994f2c60467223c94407822fbe91212be4cef1 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Fri, 11 Sep 2020 21:55:43 +0200 Subject: [PATCH 01/20] Add info phase in controller --- lib/obus_can.h | 9 +++++++++ src/controller/controller.ino | 33 +++++++++++++++++++++++++++++---- 2 files changed, 38 insertions(+), 4 deletions(-) diff --git a/lib/obus_can.h b/lib/obus_can.h index 1f70d99..a07574d 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -203,6 +203,15 @@ inline void send_c_timeout( /** * Send a controller "strikeout" OBUS message */ +inline void send_c_infostart(struct module from) { + assert(from.type == OBUS_TYPE_CONTROLLER); + struct message msg = _msg(from, false, OBUS_MSGTYPE_C_INFOSTART); + send(&msg); +} + +/** + * Send a controller "info start" OBUS message + */ inline void send_c_strikeout( struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { diff --git a/src/controller/controller.ino b/src/controller/controller.ino index b0a4008..2d86a71 100644 --- a/src/controller/controller.ino +++ b/src/controller/controller.ino @@ -3,19 +3,22 @@ #define STATE_INACTIVE 0 -#define STATE_HELLO 1 -#define STATE_GAME 2 -#define STATE_GAMEOVER 3 +#define STATE_INFO 1 +#define STATE_HELLO 2 +#define STATE_GAME 3 +#define STATE_GAMEOVER 4 #define OBUS_MAX_STRIKES 3 // Number of strikes allowed until game over #define OBUS_GAME_DURATION 60 // Duration of the game in seconds #define OBUS_MAX_MODULES 16 +#define OBUS_INFO_DURATION 3 // Duration of discovery round in seconds #define OBUS_DISC_DURATION 5 // Duration of discovery round in seconds #define OBUS_UPDATE_INTERVAL 500 // Number of milliseconds between game updates #define OBUS_GAME_DURATION_MS ((uint32_t) OBUS_GAME_DURATION*1000) #define OBUS_DISC_DURATION_MS ((uint32_t) OBUS_DISC_DURATION*1000) +#define OBUS_INFO_DURATION_MS ((uint32_t) OBUS_INFO_DURATION*1000) #define DIVIDE_CEIL(dividend, divisor) ((dividend + (divisor - 1)) / divisor) #define MAX_AMOUNT_PUZZLES 256 // The ID of a puzzle is uint8 @@ -34,6 +37,7 @@ uint8_t unsolved_puzzles[N_UNSOLVED_PUZZLES]; // Timers uint32_t hello_round_start; +uint32_t info_round_start; uint32_t game_start; uint32_t last_draw; uint32_t last_update; @@ -85,6 +89,23 @@ void solve_puzzle_in_bit_vector(uint8_t module_id) { unsolved_puzzles[byte_index] &= ~(0x1 << bit_index); } +void start_info() { + state = STATE_INFO; + info_round_start = millis(); + obus_can::send_c_infostart(this_module); + Serial.println(F(" Start of info round")); + tm.displayText("InFO"); +} + +void wait_info() { + struct obus_can::message msg; + obus_can::receive(&msg); + + if (millis() - info_round_start > OBUS_INFO_DURATION_MS) { + start_hello(); + } +} + void start_hello() { state = STATE_HELLO; @@ -258,7 +279,11 @@ void game_loop() { void loop() { switch (state) { case STATE_INACTIVE: - start_hello(); + start_info(); + break; + + case STATE_INFO: + wait_info(); break; case STATE_HELLO: From b7fd5b404a3d12a31c15386f26b67cd0bfc97b23 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Fri, 11 Sep 2020 21:10:47 +0200 Subject: [PATCH 02/20] Add ACK address to protocol, implement in obus_can --- docs/protocol.txt | 6 ++++-- lib/obus_can.cpp | 16 ++++++++++++++++ lib/obus_can.h | 2 ++ 3 files changed, 22 insertions(+), 2 deletions(-) diff --git a/docs/protocol.txt b/docs/protocol.txt index 3c2c543..b1b5185 100644 --- a/docs/protocol.txt +++ b/docs/protocol.txt @@ -38,8 +38,10 @@ Types for controller: - 0 acknowledge valid message [ X B B B B B B B ] - -------------- - reserved + - - ---------- + | ↓ reserved + ↓ module ID + type - 1 hello [ X B B B B B B B ] diff --git a/lib/obus_can.cpp b/lib/obus_can.cpp index 3d851be..51b6b51 100644 --- a/lib/obus_can.cpp +++ b/lib/obus_can.cpp @@ -36,6 +36,7 @@ uint8_t payload_type(uint8_t module_type, uint8_t module_id, uint8_t msg_type) { if (module_type == OBUS_TYPE_CONTROLLER && module_id == OBUS_CONTROLLER_ID) { switch (msg_type) { case OBUS_MSGTYPE_C_ACK: + return OBUS_PAYLDTYPE_MODULEADDR; case OBUS_MSGTYPE_C_HELLO: return OBUS_PAYLDTYPE_EMPTY; @@ -140,6 +141,16 @@ bool receive(struct message *msg) { msg->infomessage.len = data_len; } break; + case OBUS_PAYLDTYPE_MODULEADDR: + { + if (receive_frame.can_dlc < 3) { + Serial.println(F("W Received illegal count msg: payload <3")); + return false; + } + msg->payload_address.type = receive_frame.data[1]; + msg->payload_address.id = receive_frame.data[2]; + } + break; default: Serial.println(F("W Couldn't determine payload type")); return false; @@ -194,6 +205,11 @@ void send(struct message *msg) { length = msg->infomessage.len + 1; break; + case OBUS_PAYLDTYPE_MODULEADDR: + send_frame.data[1] = msg->payload_address.type; + send_frame.data[2] = msg->payload_address.id; + break; + default: Serial.print(F("E Unknown payload type ")); Serial.println(pyld_type); diff --git a/lib/obus_can.h b/lib/obus_can.h index a07574d..94f527a 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -35,6 +35,7 @@ #define OBUS_PAYLDTYPE_GAMESTATUS 1 #define OBUS_PAYLDTYPE_COUNT 2 #define OBUS_PAYLDTYPE_INFO 3 +#define OBUS_PAYLDTYPE_MODULEADDR 4 #define OBUS_PAYLD_INFO_MAXLEN (OBUS_MSG_LENGTH - 1) @@ -66,6 +67,7 @@ struct message { struct payld_gamestatus gamestatus; uint8_t count; struct payld_infomessage infomessage; + struct module payload_address; }; }; From bfcdd19e9b60a78d55defc4c58704a97c54eb82c Mon Sep 17 00:00:00 2001 From: redfast00 Date: Fri, 11 Sep 2020 21:14:40 +0200 Subject: [PATCH 03/20] Implement sending controller ACKs --- lib/obus_can.h | 3 ++- src/controller/controller.ino | 2 +- 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/lib/obus_can.h b/lib/obus_can.h index 94f527a..149ad78 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -142,9 +142,10 @@ inline void _send_payld_gamestatus( /** * Send a controller "ACK" OBUS message */ -inline void send_c_ack(struct module from) { +inline void send_c_ack(struct module from, struct module payload_address) { assert(from.type == OBUS_TYPE_CONTROLLER); struct message msg = _msg(from, false, OBUS_MSGTYPE_C_ACK); + msg.payload_address = payload_address; send(&msg); } diff --git a/src/controller/controller.ino b/src/controller/controller.ino index 2d86a71..d439ec9 100644 --- a/src/controller/controller.ino +++ b/src/controller/controller.ino @@ -157,7 +157,7 @@ void receive_hello() { Serial.println(F("W Max # modules reached")); } - obus_can::send_c_ack(this_module); + obus_can::send_c_ack(this_module, msg.from); Serial.println(" ACK"); } From ff272fc841b2114c184338441b826c9e0287ae9f Mon Sep 17 00:00:00 2001 From: redfast00 Date: Fri, 11 Sep 2020 21:20:01 +0200 Subject: [PATCH 04/20] Parse ACKs in debugger --- debugging_tool/server.py | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/debugging_tool/server.py b/debugging_tool/server.py index 4a580bb..c8984e2 100644 --- a/debugging_tool/server.py +++ b/debugging_tool/server.py @@ -42,8 +42,9 @@ class Message: def sender_id(self): return (self.received_from >> 0) & 0b1111_1111 - def human_readable_type(self): - return [('controller' if self.sender_id() == 0 else 'info'), 'puzzle', 'needy', 'RESERVED TYPE'][self.sender_type()] + @staticmethod + def human_readable_type(sender_type, sender_id): + return [('controller' if sender_id == 0 else 'info'), 'puzzle', 'needy', 'RESERVED TYPE'][sender_type] def _parse_state_update(self): timeleft = self.payload[1] << 0x18 | self.payload[2] << 0x10 | self.payload[3] << 0x08 | self.payload[4] @@ -58,7 +59,7 @@ class Message: try: if sender_type == 0b00 and self.sender_id() == 0: # controller if message_type == 0: - return "ACK" + return f"ACK {Message.human_readable_type(self.payload[1], self.payload[2])} {self.payload[2]}" elif message_type == 1: return "HELLO" elif message_type == 2: @@ -99,7 +100,7 @@ class Message: 'parsed': self.parse_message(), 'pretty_raw_sender_id': f'{self.priority_bit():01b} {self.sender_type():02b} {self.sender_id():08b}', 'raw_message': f"{self.payload.hex(' ')}", - 'human_readable_type': self.human_readable_type(), + 'human_readable_type': Message.human_readable_type(self.sender_type(), self.sender_id()), 'sender_id': self.sender_id(), 'internal_id': self.internal_id } From e366853f11671b6a8f3c2835498ae5b6596f3b8c Mon Sep 17 00:00:00 2001 From: redfast00 Date: Thu, 22 Oct 2020 21:16:12 +0200 Subject: [PATCH 05/20] Extract function --- lib/obus_can.h | 4 ++++ lib/obus_module.cpp | 4 ++-- 2 files changed, 6 insertions(+), 2 deletions(-) diff --git a/lib/obus_can.h b/lib/obus_can.h index a07574d..b384ea2 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -267,6 +267,10 @@ inline void send_i_infomessage(struct module from, uint8_t *data, uint8_t data_l send(&msg); } +inline bool is_from_controller(struct module from) { + return from.type == OBUS_TYPE_CONTROLLER && from.id == OBUS_CONTROLLER_ID; +} + } #endif /* end of include guard: OBUS_CAN_H */ diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index 02b6836..45c3259 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -115,7 +115,7 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void bool interesting_message = false; if (obus_can::receive(message)) { - if (message->from.type == OBUS_TYPE_CONTROLLER && message->from.id == 0) { + if (is_from_controller(message->from)) { switch (message->msg_type) { case OBUS_MSGTYPE_C_GAMESTART: active = true; @@ -157,7 +157,7 @@ bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void ( bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)) { bool interesting_message = false; if (obus_can::receive(message)) { - if (message->from.type == OBUS_TYPE_CONTROLLER && message->from.id == 0) { + if (is_from_controller(message->from)) { switch (message->msg_type) { case OBUS_MSGTYPE_C_INFOSTART: { From 34a6f18279aff14ca9e7db5ce2dde9433e48b8ea Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sat, 30 Jan 2021 11:44:36 +0100 Subject: [PATCH 06/20] Add custom PCB --- pcb/README.md | 41 + pcb/can_arduino_mini_pcb/.gitignore | 28 + .../can_arduino_mini_pcb-cache.lib | 331 ++ .../can_arduino_mini_pcb.kicad_pcb | 1599 ++++++++ .../logo_small.kicad_mod | 106 + .../can_arduino_mini_pcb.pro | 259 ++ .../can_arduino_mini_pcb.sch | 440 +++ .../custom_components.dcm | 3 + .../custom_components.lib | 23 + pcb/can_arduino_mini_pcb/fp-lib-table | 3 + pcb/can_arduino_mini_pcb/sym-lib-table | 3 + pcb/panel/build.sh | 2 + .../can_arduino_mini_pcb_panel/.gitignore | 28 + .../can_arduino_mini_pcb_panel.kicad_pcb | 3520 +++++++++++++++++ .../can_arduino_mini_pcb_panel.pro | 33 + .../can_arduino_mini_pcb_panel.sch | 4 + .../fabricate/handin.zip | Bin 0 -> 96177 bytes pcb/pcb_kicad.png | Bin 0 -> 368053 bytes 18 files changed, 6423 insertions(+) create mode 100644 pcb/README.md create mode 100644 pcb/can_arduino_mini_pcb/.gitignore create mode 100644 pcb/can_arduino_mini_pcb/can_arduino_mini_pcb-cache.lib create mode 100644 pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.kicad_pcb create mode 100644 pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pretty/logo_small.kicad_mod create mode 100644 pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pro create mode 100644 pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.sch create mode 100644 pcb/can_arduino_mini_pcb/custom_components.dcm create mode 100644 pcb/can_arduino_mini_pcb/custom_components.lib create mode 100644 pcb/can_arduino_mini_pcb/fp-lib-table create mode 100644 pcb/can_arduino_mini_pcb/sym-lib-table create mode 100755 pcb/panel/build.sh create mode 100644 pcb/panel/can_arduino_mini_pcb_panel/.gitignore create mode 100644 pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.kicad_pcb create mode 100644 pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.pro create mode 100644 pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.sch create mode 100644 pcb/panel/can_arduino_mini_pcb_panel/fabricate/handin.zip create mode 100644 pcb/pcb_kicad.png diff --git a/pcb/README.md b/pcb/README.md new file mode 100644 index 0000000..016099a --- /dev/null +++ b/pcb/README.md @@ -0,0 +1,41 @@ +# OBUS PCB + +![The panelized OBUS PCB](./pcb_kicad.png) + +In order to make it easier for our members to get started creating their own OBUS module, +we designed and ordered PCBs they can use instead of having to wire up the basics +on a breadboard. The PCB accomodates an Arduino Nano V3 (and clones), an MCP2515 CAN-bus module +and an RGB LED. The LED and CAN bus module are connected to pins on the +Arduino Nano. All pins on the Arduino Nano are connected to the ajacent pin socket so +they can easily be accessed when breadboarding. +The pins already used by the OBUS framework are marked with exclamation marks. This does +not mean they can't be used for other purposes anymore, just that you need to pay +attention when using them: for example the SPI pins can be used for other devices, but +the LED pins can't. While picking the pins, we made sure to use the least useful pins +for the OBUS framework: we tried to pick as few PWM pins as possible, didn't pick any I2C +pins, ... + +## Connecting the parts on the socket. + +We tried our best to make the board as self-explanatory as possible. When pushing the +Arduino into its socket, make sure it's in the correct orientation. When connecting the +CAN bus module, you'll need to flip it on its back, then look at the silk screen on both +the OBUS PCB and the CAN module so that the INT pin is connected in the INT socket hole. + +By default, the INT pin on the CAN module is not connected to the Arduino in order to +save pins. If you want to connect the INT pin to pin D2 on the Arduino, you can bridge +the jumper on the top right of the board with some solder. + +## Getting this board manufactured + +I order to get this board manufactured cheaper, we panelized it (by putting two OBUS PCBs per ordered "board"). If you want to manufacture this board, there's a file `panel/can_arduino_mini_pcb_panel/fabricate/handin.zip` containing all the +Gerber files needed for production. If you modify the original PCB, you can generate +the panel with `panel/build.sh`, then use KiCAD to plot the Gerber files. + +### Component list per board + +- 2 1x15 2.54mm pin sockets, we recommend 4 sockets so you can socket the Arduino as well +- 1 1x07 2.54mm pin socket +- 1 1x02 2.54mm pin socket +- 1 common cathode 5mm RGB LED, pin order RCGB +- 2 330 ohm through hole resistors diff --git a/pcb/can_arduino_mini_pcb/.gitignore b/pcb/can_arduino_mini_pcb/.gitignore new file mode 100644 index 0000000..bd70969 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/.gitignore @@ -0,0 +1,28 @@ +# For PCBs designed using KiCad: http://www.kicad-pcb.org/ +# Format documentation: http://kicad-pcb.org/help/file-formats/ + +# Temporary files +*.000 +*.bak +*.bck +*.kicad_pcb-bak +*.kicad_sch-bak +*.kicad_prl +*.sch-bak +*~ +_autosave-* +*.tmp +*-save.pro +*-save.kicad_pcb +fp-info-cache + +# Netlist files (exported from Eeschema) +*.net + +# Autorouter files (exported from Pcbnew) +*.dsn +*.ses + +# Exported BOM files +*.xml +*.csv diff --git a/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb-cache.lib b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb-cache.lib new file mode 100644 index 0000000..ef289f2 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb-cache.lib @@ -0,0 +1,331 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# Connector_Conn_01x02_Female +# +DEF Connector_Conn_01x02_Female J 0 40 Y N 1 F N +F0 "J" 0 100 50 H V C CNN +F1 "Connector_Conn_01x02_Female" 0 -200 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +A 0 -100 20 901 -901 1 1 6 N 0 -80 0 -120 +A 0 0 20 901 -901 1 1 6 N 0 20 0 -20 +P 2 1 1 6 -50 -100 -20 -100 N +P 2 1 1 6 -50 0 -20 0 N +X Pin_1 1 -200 0 150 R 50 50 1 1 P +X Pin_2 2 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Conn_01x07_Female +# +DEF Connector_Conn_01x07_Female J 0 40 Y N 1 F N +F0 "J" 0 400 50 H V C CNN +F1 "Connector_Conn_01x07_Female" 0 -400 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +A 0 -300 20 901 -901 1 1 6 N 0 -280 0 -320 +A 0 -200 20 901 -901 1 1 6 N 0 -180 0 -220 +A 0 -100 20 901 -901 1 1 6 N 0 -80 0 -120 +A 0 0 20 901 -901 1 1 6 N 0 20 0 -20 +A 0 100 20 901 -901 1 1 6 N 0 120 0 80 +A 0 200 20 901 -901 1 1 6 N 0 220 0 180 +A 0 300 20 901 -901 1 1 6 N 0 320 0 280 +P 2 1 1 6 -50 -300 -20 -300 N +P 2 1 1 6 -50 -200 -20 -200 N +P 2 1 1 6 -50 -100 -20 -100 N +P 2 1 1 6 -50 0 -20 0 N +P 2 1 1 6 -50 100 -20 100 N +P 2 1 1 6 -50 200 -20 200 N +P 2 1 1 6 -50 300 -20 300 N +X Pin_1 1 -200 300 150 R 50 50 1 1 P +X Pin_2 2 -200 200 150 R 50 50 1 1 P +X Pin_3 3 -200 100 150 R 50 50 1 1 P +X Pin_4 4 -200 0 150 R 50 50 1 1 P +X Pin_5 5 -200 -100 150 R 50 50 1 1 P +X Pin_6 6 -200 -200 150 R 50 50 1 1 P +X Pin_7 7 -200 -300 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Conn_01x15_Female +# +DEF Connector_Conn_01x15_Female J 0 40 Y N 1 F N +F0 "J" 0 800 50 H V C CNN +F1 "Connector_Conn_01x15_Female" 0 -800 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +A 0 -700 20 901 -901 1 1 6 N 0 -680 0 -720 +A 0 -600 20 901 -901 1 1 6 N 0 -580 0 -620 +A 0 -500 20 901 -901 1 1 6 N 0 -480 0 -520 +A 0 -400 20 901 -901 1 1 6 N 0 -380 0 -420 +A 0 -300 20 901 -901 1 1 6 N 0 -280 0 -320 +A 0 -200 20 901 -901 1 1 6 N 0 -180 0 -220 +A 0 -100 20 901 -901 1 1 6 N 0 -80 0 -120 +A 0 0 20 901 -901 1 1 6 N 0 20 0 -20 +A 0 100 20 901 -901 1 1 6 N 0 120 0 80 +A 0 200 20 901 -901 1 1 6 N 0 220 0 180 +A 0 300 20 901 -901 1 1 6 N 0 320 0 280 +A 0 400 20 901 -901 1 1 6 N 0 420 0 380 +A 0 500 20 901 -901 1 1 6 N 0 520 0 480 +A 0 600 20 901 -901 1 1 6 N 0 620 0 580 +A 0 700 20 901 -901 1 1 6 N 0 720 0 680 +P 2 1 1 6 -50 -700 -20 -700 N +P 2 1 1 6 -50 -600 -20 -600 N +P 2 1 1 6 -50 -500 -20 -500 N +P 2 1 1 6 -50 -400 -20 -400 N +P 2 1 1 6 -50 -300 -20 -300 N +P 2 1 1 6 -50 -200 -20 -200 N +P 2 1 1 6 -50 -100 -20 -100 N +P 2 1 1 6 -50 0 -20 0 N +P 2 1 1 6 -50 100 -20 100 N +P 2 1 1 6 -50 200 -20 200 N +P 2 1 1 6 -50 300 -20 300 N +P 2 1 1 6 -50 400 -20 400 N +P 2 1 1 6 -50 500 -20 500 N +P 2 1 1 6 -50 600 -20 600 N +P 2 1 1 6 -50 700 -20 700 N +X Pin_1 1 -200 700 150 R 50 50 1 1 P +X Pin_10 10 -200 -200 150 R 50 50 1 1 P +X Pin_11 11 -200 -300 150 R 50 50 1 1 P +X Pin_12 12 -200 -400 150 R 50 50 1 1 P +X Pin_13 13 -200 -500 150 R 50 50 1 1 P +X Pin_14 14 -200 -600 150 R 50 50 1 1 P +X Pin_15 15 -200 -700 150 R 50 50 1 1 P +X Pin_2 2 -200 600 150 R 50 50 1 1 P +X Pin_3 3 -200 500 150 R 50 50 1 1 P +X Pin_4 4 -200 400 150 R 50 50 1 1 P +X Pin_5 5 -200 300 150 R 50 50 1 1 P +X Pin_6 6 -200 200 150 R 50 50 1 1 P +X Pin_7 7 -200 100 150 R 50 50 1 1 P +X Pin_8 8 -200 0 150 R 50 50 1 1 P +X Pin_9 9 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_Jumper_NO_Small +# +DEF Device_Jumper_NO_Small JP 0 30 N N 1 F N +F0 "JP" 0 80 50 H V C CNN +F1 "Device_Jumper_NO_Small" 10 -60 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + SolderJumper*Open* + Jumper* + TestPoint*2Pads* + TestPoint*Bridge* +$ENDFPLIST +DRAW +C -40 0 20 0 1 0 N +C 40 0 20 0 1 0 N +X 1 1 -100 0 40 R 50 50 0 1 P +X 2 2 100 0 40 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# Device_LED_RCGB +# +DEF Device_LED_RCGB D 0 0 Y N 1 F N +F0 "D" 0 370 50 H V C CNN +F1 "Device_LED_RCGB" 0 -350 50 H V C CNN +F2 "" 0 -50 50 H I C CNN +F3 "" 0 -50 50 H I C CNN +$FPLIST + LED* + LED_SMD:* + LED_THT:* +$ENDFPLIST +DRAW +C -80 0 10 0 1 0 F +T 0 75 -250 50 0 0 0 B Normal 0 C C +T 0 75 -50 50 0 0 0 G Normal 0 C C +T 0 75 150 50 0 0 0 R Normal 0 C C +S 50 -50 50 50 0 1 0 N +S 50 50 50 50 0 1 0 N +S 50 150 50 250 0 1 0 N +S 50 250 50 250 0 1 0 N +S 110 330 -110 -300 0 1 10 f +P 2 0 1 0 -50 -200 50 -200 N +P 2 0 1 10 -50 -150 -50 -250 N +P 2 0 1 0 -50 0 -100 0 N +P 2 0 1 10 -50 50 -50 -50 N +P 2 0 1 0 -50 200 50 200 N +P 2 0 1 10 -50 250 -50 150 N +P 2 0 1 0 50 -200 100 -200 N +P 2 0 1 0 50 0 -50 0 N +P 2 0 1 0 50 0 100 0 N +P 2 0 1 0 50 200 100 200 N +P 3 0 1 0 -50 50 -50 -50 -50 -50 N +P 3 0 1 0 -50 250 -50 150 -50 150 N +P 4 0 1 0 -50 200 -80 200 -80 -200 -40 -200 N +P 4 0 1 10 50 -150 50 -250 -50 -200 50 -150 N +P 4 0 1 10 50 50 50 -50 -50 0 50 50 N +P 4 0 1 10 50 250 50 150 -50 200 50 250 N +P 5 0 1 0 -40 -150 20 -90 -10 -90 20 -90 20 -120 N +P 5 0 1 0 -40 50 20 110 -10 110 20 110 20 80 N +P 5 0 1 0 -40 250 20 310 -10 310 20 310 20 280 N +P 5 0 1 0 0 -150 60 -90 30 -90 60 -90 60 -120 N +P 5 0 1 0 0 50 60 110 30 110 60 110 60 80 N +P 5 0 1 0 0 250 60 310 30 310 60 310 60 280 N +X RA 1 200 200 100 L 50 50 1 1 P +X K 2 -200 0 100 R 50 50 1 1 P +X GA 3 200 0 100 L 50 50 1 1 P +X BA 4 200 -200 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R +# +DEF Device_R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "Device_R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# MCU_Module_Arduino_Nano_v3.x +# +DEF MCU_Module_Arduino_Nano_v3.x A 0 20 Y Y 1 F N +F0 "A" -400 925 50 H V L BNN +F1 "MCU_Module_Arduino_Nano_v3.x" 200 -950 50 H V L TNN +F2 "Module:Arduino_Nano" 0 0 50 H I C CIN +F3 "" 0 0 50 H I C CNN +ALIAS Arduino_Nano_v3.x +$FPLIST + Arduino*Nano* +$ENDFPLIST +DRAW +S -400 900 400 -900 0 1 10 f +X D1/TX 1 -500 500 100 R 50 50 1 1 B +X D7 10 -500 -100 100 R 50 50 1 1 B +X D8 11 -500 -200 100 R 50 50 1 1 B +X D9 12 -500 -300 100 R 50 50 1 1 B +X D10 13 -500 -400 100 R 50 50 1 1 B +X D11 14 -500 -500 100 R 50 50 1 1 B +X D12 15 -500 -600 100 R 50 50 1 1 B +X D13 16 -500 -700 100 R 50 50 1 1 B +X 3V3 17 100 1000 100 D 50 50 1 1 w +X AREF 18 500 200 100 L 50 50 1 1 I +X A0 19 500 0 100 L 50 50 1 1 B +X D0/RX 2 -500 600 100 R 50 50 1 1 B +X A1 20 500 -100 100 L 50 50 1 1 B +X A2 21 500 -200 100 L 50 50 1 1 B +X A3 22 500 -300 100 L 50 50 1 1 B +X A4 23 500 -400 100 L 50 50 1 1 B +X A5 24 500 -500 100 L 50 50 1 1 B +X A6 25 500 -600 100 L 50 50 1 1 B +X A7 26 500 -700 100 L 50 50 1 1 B +X +5V 27 200 1000 100 D 50 50 1 1 w +X ~RESET 28 500 600 100 L 50 50 1 1 I +X GND 29 100 -1000 100 U 50 50 1 1 W +X ~RESET 3 500 500 100 L 50 50 1 1 I +X VIN 30 -100 1000 100 D 50 50 1 1 W +X GND 4 0 -1000 100 U 50 50 1 1 W +X D2 5 -500 400 100 R 50 50 1 1 B +X D3 6 -500 300 100 R 50 50 1 1 B +X D4 7 -500 200 100 R 50 50 1 1 B +X D5 8 -500 100 100 R 50 50 1 1 B +X D6 9 -500 0 100 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# custom_components_CAN_MODULE +# +DEF custom_components_CAN_MODULE U 0 40 Y Y 1 F N +F0 "U" -250 -400 50 H V C CNN +F1 "custom_components_CAN_MODULE" -50 400 50 H V C CNN +F2 "" -500 50 50 H I C CNN +F3 "" -500 50 50 H I C CNN +DRAW +P 5 0 1 0 -300 350 -300 -350 200 -350 200 350 -300 350 f +X CS ~ -400 -100 100 R 50 50 1 1 I +X GND ~ -400 -200 100 R 50 50 1 1 W +X INT ~ -400 300 100 R 50 50 1 1 O +X SCK ~ -400 200 100 R 50 50 1 1 I +X SI ~ -400 100 100 R 50 50 1 1 I +X SO ~ -400 0 100 R 50 50 1 1 O +X VCC ~ -400 -300 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# power_+3.3V +# +DEF power_+3.3V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3.3V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_VCC +# +DEF power_VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.kicad_pcb b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.kicad_pcb new file mode 100644 index 0000000..aba082e --- /dev/null +++ b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.kicad_pcb @@ -0,0 +1,1599 @@ +(kicad_pcb (version 20171130) (host pcbnew 5.1.9) + + (general + (thickness 1.6) + (drawings 47) + (tracks 64) + (zones 0) + (modules 10) + (nets 33) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.05) + (segment_width 0.2) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.12) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 "Net-(A1-Pad1)") + (net 2 +3V3) + (net 3 "Net-(A1-Pad2)") + (net 4 "Net-(A1-Pad18)") + (net 5 "Net-(A1-Pad3)") + (net 6 "Net-(A1-Pad19)") + (net 7 GND) + (net 8 "Net-(A1-Pad20)") + (net 9 "Net-(A1-Pad5)") + (net 10 "Net-(A1-Pad21)") + (net 11 "Net-(A1-Pad6)") + (net 12 "Net-(A1-Pad22)") + (net 13 "Net-(A1-Pad7)") + (net 14 "Net-(A1-Pad23)") + (net 15 "Net-(A1-Pad8)") + (net 16 "Net-(A1-Pad24)") + (net 17 "Net-(A1-Pad9)") + (net 18 "Net-(A1-Pad25)") + (net 19 "Net-(A1-Pad10)") + (net 20 "Net-(A1-Pad26)") + (net 21 "Net-(A1-Pad11)") + (net 22 VCC) + (net 23 "Net-(A1-Pad12)") + (net 24 "Net-(A1-Pad28)") + (net 25 "Net-(A1-Pad13)") + (net 26 "Net-(A1-Pad14)") + (net 27 +5V) + (net 28 "Net-(A1-Pad15)") + (net 29 "Net-(A1-Pad16)") + (net 30 "Net-(D1-Pad1)") + (net 31 "Net-(D1-Pad3)") + (net 32 "Net-(J4-Pad1)") + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net "Net-(A1-Pad1)") + (add_net "Net-(A1-Pad10)") + (add_net "Net-(A1-Pad11)") + (add_net "Net-(A1-Pad12)") + (add_net "Net-(A1-Pad13)") + (add_net "Net-(A1-Pad14)") + (add_net "Net-(A1-Pad15)") + (add_net "Net-(A1-Pad16)") + (add_net "Net-(A1-Pad18)") + (add_net "Net-(A1-Pad19)") + (add_net "Net-(A1-Pad2)") + (add_net "Net-(A1-Pad20)") + (add_net "Net-(A1-Pad21)") + (add_net "Net-(A1-Pad22)") + (add_net "Net-(A1-Pad23)") + (add_net "Net-(A1-Pad24)") + (add_net "Net-(A1-Pad25)") + (add_net "Net-(A1-Pad26)") + (add_net "Net-(A1-Pad28)") + (add_net "Net-(A1-Pad3)") + (add_net "Net-(A1-Pad5)") + (add_net "Net-(A1-Pad6)") + (add_net "Net-(A1-Pad7)") + (add_net "Net-(A1-Pad8)") + (add_net "Net-(A1-Pad9)") + (add_net "Net-(D1-Pad1)") + (add_net "Net-(D1-Pad3)") + (add_net "Net-(J4-Pad1)") + ) + + (net_class Power "" + (clearance 0.2) + (trace_width 0.35) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +3V3) + (add_net +5V) + (add_net GND) + (add_net VCC) + ) + + (module Module:Arduino_Nano (layer F.Cu) (tedit 58ACAF70) (tstamp 600F913C) + (at 50.8 48.26) + (descr "Arduino Nano, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf") + (tags "Arduino Nano") + (path /600ED8F8) + (fp_text reference A1 (at 8.89 -5.08) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Arduino_Nano_v3.x (at 7.62 38.1 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 16.75 42.16) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.75 42.16) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.53 -4.06) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.53 -4.06) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.51 -3.81) (end 16.51 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -3.81) (end 16.51 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -2.54) (end 0 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 39.37) (end -1.27 -2.54) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 39.37) (end -1.27 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start 16.64 -3.94) (end -1.4 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.64 39.5) (end 16.64 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 39.5) (end 16.64 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.81 41.91) (end 3.81 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 41.91) (end 3.81 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 31.75) (end 11.43 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 31.75) (end 11.43 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.4 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end -1.4 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 36.83) (end 16.64 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 13.97 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 16.64 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -3.94) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1.27) (end -1.4 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.27) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.12)) + (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 1 "Net-(A1-Pad1)")) + (pad 17 thru_hole oval (at 15.24 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 2 +3V3)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 3 "Net-(A1-Pad2)")) + (pad 18 thru_hole oval (at 15.24 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 4 "Net-(A1-Pad18)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 5 "Net-(A1-Pad3)")) + (pad 19 thru_hole oval (at 15.24 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 6 "Net-(A1-Pad19)")) + (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 20 thru_hole oval (at 15.24 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 8 "Net-(A1-Pad20)")) + (pad 5 thru_hole oval (at 0 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 9 "Net-(A1-Pad5)")) + (pad 21 thru_hole oval (at 15.24 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 10 "Net-(A1-Pad21)")) + (pad 6 thru_hole oval (at 0 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 11 "Net-(A1-Pad6)")) + (pad 22 thru_hole oval (at 15.24 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 12 "Net-(A1-Pad22)")) + (pad 7 thru_hole oval (at 0 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 13 "Net-(A1-Pad7)")) + (pad 23 thru_hole oval (at 15.24 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 14 "Net-(A1-Pad23)")) + (pad 8 thru_hole oval (at 0 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 15 "Net-(A1-Pad8)")) + (pad 24 thru_hole oval (at 15.24 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 16 "Net-(A1-Pad24)")) + (pad 9 thru_hole oval (at 0 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 17 "Net-(A1-Pad9)")) + (pad 25 thru_hole oval (at 15.24 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 18 "Net-(A1-Pad25)")) + (pad 10 thru_hole oval (at 0 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 19 "Net-(A1-Pad10)")) + (pad 26 thru_hole oval (at 15.24 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 20 "Net-(A1-Pad26)")) + (pad 11 thru_hole oval (at 0 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 21 "Net-(A1-Pad11)")) + (pad 27 thru_hole oval (at 15.24 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 22 VCC)) + (pad 12 thru_hole oval (at 0 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 23 "Net-(A1-Pad12)")) + (pad 28 thru_hole oval (at 15.24 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 24 "Net-(A1-Pad28)")) + (pad 13 thru_hole oval (at 0 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 25 "Net-(A1-Pad13)")) + (pad 29 thru_hole oval (at 15.24 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 14 thru_hole oval (at 0 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 26 "Net-(A1-Pad14)")) + (pad 30 thru_hole oval (at 15.24 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 27 +5V)) + (pad 15 thru_hole oval (at 0 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 28 "Net-(A1-Pad15)")) + (pad 16 thru_hole oval (at 15.24 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 29 "Net-(A1-Pad16)")) + (model ${KISYS3DMOD}/Module.3dshapes/Arduino_Nano_WithMountingHoles.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LED_THT:LED_D5.0mm-4_RGB (layer F.Cu) (tedit 5B74EEBE) (tstamp 600F9152) + (at 50.8 40.64 90) + (descr "LED, diameter 5.0mm, 2 pins, diameter 5.0mm, 3 pins, diameter 5.0mm, 4 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf") + (tags "LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins diameter 5.0mm 4 pins RGB RGBLED") + (path /600F1EA5) + (fp_text reference D1 (at 1.905 -4.445 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LED_RCGB (at 1.905 3.96 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 5.15 -3.25) (end -1.35 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.25) (end 5.15 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.35 3.25) (end 5.15 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.35 -3.25) (end -1.35 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.655 1.08) (end -0.655 1.545) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.655 -1.545) (end -0.655 -1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.595 -1.469694) (end -0.595 1.469694) (layer F.Fab) (width 0.1)) + (fp_circle (center 1.905 0) (end 4.405 0) (layer F.Fab) (width 0.1)) + (fp_arc (start 1.905 0) (end -0.595 -1.469694) (angle 299.1) (layer F.Fab) (width 0.1)) + (fp_arc (start 1.905 0) (end -0.655 -1.54483) (angle 127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.655 1.54483) (angle -127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.349684 -1.08) (angle 128.8) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.349684 1.08) (angle -128.8) (layer F.SilkS) (width 0.12)) + (pad 1 thru_hole rect (at 0 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 30 "Net-(D1-Pad1)")) + (pad 2 thru_hole oval (at 1.27 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 3 thru_hole oval (at 2.54 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 31 "Net-(D1-Pad3)")) + (pad 4 thru_hole oval (at 3.81 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask)) + (model ${KISYS3DMOD}/LED_THT.3dshapes/LED_D5.0mm-4_RGB.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 600F9337) + (at 62.23 40.64) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F0580) + (fp_text reference R1 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 1.92) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 13 "Net-(A1-Pad7)")) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 30 "Net-(D1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 600F934E) + (at 62.23 38.1) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F00A2) + (fp_text reference R2 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 -1.905) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 31 "Net-(D1-Pad3)")) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 19 "Net-(A1-Pad10)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x07_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A433) (tstamp 600FAA79) + (at 66.04 30.48 270) + (descr "Through hole straight socket strip, 1x07, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x07 2.54mm single row") + (path /60215342) + (fp_text reference J4 (at 0 19.685) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x07_Female (at -3.81 10.16 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 16.51) (end -1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 16.51) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 16.57) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 17) (end -1.8 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 17) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 7.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 32 "Net-(J4-Pad1)")) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 29 "Net-(A1-Pad16)")) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 "Net-(A1-Pad14)")) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 28 "Net-(A1-Pad15)")) + (pad 5 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 21 "Net-(A1-Pad11)")) + (pad 6 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 7 thru_hole oval (at 0 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 22 VCC)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x07_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm (layer F.Cu) (tedit 5A64794F) (tstamp 60102BC3) + (at 70.575 30.48 180) + (descr "SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /602581FC) + (attr virtual) + (fp_text reference JP1 (at 0 -2.54) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper_NO_Small (at -4.355 3.81) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (pad 2 smd custom (at 0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 32 "Net-(J4-Pad1)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.65 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy -0.65 0.75) (xy -0.15 0) +) (width 0)) + )) + (pad 1 smd custom (at -0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 9 "Net-(A1-Pad5)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.5 -0.75) (xy 0.5 -0.75) (xy 1 0) (xy 0.5 0.75) (xy -0.5 0.75) +) (width 0)) + )) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 60105B8F) + (at 43.18 83.82 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /601001CE) + (fp_text reference J1 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "Net-(A1-Pad1)")) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 "Net-(A1-Pad2)")) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 "Net-(A1-Pad3)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 "Net-(A1-Pad5)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "Net-(A1-Pad6)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 "Net-(A1-Pad7)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 15 "Net-(A1-Pad8)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 17 "Net-(A1-Pad9)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 19 "Net-(A1-Pad10)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 21 "Net-(A1-Pad11)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 23 "Net-(A1-Pad12)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 "Net-(A1-Pad13)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 "Net-(A1-Pad14)")) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 28 "Net-(A1-Pad15)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 60105BB1) + (at 73.66 83.82 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /600FC1CE) + (fp_text reference J2 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 29 "Net-(A1-Pad16)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 +3V3)) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "Net-(A1-Pad18)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 "Net-(A1-Pad19)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 8 "Net-(A1-Pad20)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 "Net-(A1-Pad21)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 "Net-(A1-Pad22)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 "Net-(A1-Pad23)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 16 "Net-(A1-Pad24)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 18 "Net-(A1-Pad25)")) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 20 "Net-(A1-Pad26)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 22 VCC)) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 24 "Net-(A1-Pad28)")) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 27 +5V)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A420) (tstamp 60105BD3) + (at 69.85 92.71 270) + (descr "Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x02 2.54mm single row") + (path /601B1E80) + (fp_text reference J3 (at 1.524 -3.048 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x02_Female (at 0 5.31 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 GND)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 27 +5V)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x02_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Zeusbadge:logo_small (layer F.Cu) (tedit 0) (tstamp 60105BED) + (at 45.72 94.488) + (path /601E578C) + (fp_text reference U1 (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value CAN_MODULE (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy -1.016 -3.288689) (xy -1.142003 -3.138997) (xy -1.268007 -2.989305) (xy -1.182104 -3.030809) + (xy -1.025377 -3.087386) (xy -0.846598 -3.120172) (xy -0.657547 -3.129238) (xy -0.470004 -3.114655) + (xy -0.295752 -3.076497) (xy -0.164929 -3.024586) (xy -0.067915 -2.961689) (xy 0.032277 -2.874577) + (xy 0.12265 -2.776208) (xy 0.190203 -2.679541) (xy 0.200396 -2.660424) (xy 0.254 -2.552855) + (xy 0.254 -3.069167) (xy 0.881518 -3.069167) (xy 0.880072 -2.450042) (xy 0.879921 -2.267152) + (xy 0.880578 -2.121569) (xy 0.882289 -2.008269) (xy 0.885296 -1.922228) (xy 0.889844 -1.858422) + (xy 0.896176 -1.811829) (xy 0.904535 -1.777425) (xy 0.913896 -1.752948) (xy 0.971152 -1.672643) + (xy 1.054138 -1.625167) (xy 1.16035 -1.611805) (xy 1.185619 -1.613478) (xy 1.28122 -1.634538) + (xy 1.357754 -1.681383) (xy 1.424353 -1.760687) (xy 1.45408 -1.80975) (xy 1.513417 -1.915583) + (xy 1.527029 -3.069167) (xy 2.137833 -3.069167) (xy 2.137833 -2.72153) (xy 2.185458 -2.815669) + (xy 2.258964 -2.9177) (xy 2.365182 -3.005012) (xy 2.495233 -3.070792) (xy 2.536114 -3.084859) + (xy 2.623269 -3.102769) (xy 2.742311 -3.114551) (xy 2.883255 -3.120301) (xy 3.036115 -3.120112) + (xy 3.190905 -3.114079) (xy 3.337639 -3.102295) (xy 3.46633 -3.084856) (xy 3.513667 -3.075635) + (xy 3.693583 -3.036259) (xy 3.705475 -2.544021) (xy 3.550865 -2.604056) (xy 3.326485 -2.672813) + (xy 3.104529 -2.705117) (xy 2.963429 -2.705744) (xy 2.877009 -2.697913) (xy 2.819472 -2.683696) + (xy 2.777438 -2.659152) (xy 2.763281 -2.646802) (xy 2.719319 -2.584497) (xy 2.711743 -2.519641) + (xy 2.726274 -2.482613) (xy 2.766383 -2.450155) (xy 2.838853 -2.419933) (xy 2.933728 -2.395388) + (xy 3.01625 -2.382466) (xy 3.156457 -2.361403) (xy 3.299459 -2.331076) (xy 3.433035 -2.294693) + (xy 3.544964 -2.255458) (xy 3.60293 -2.228539) (xy 3.704631 -2.153435) (xy 3.758668 -2.084122) + (xy 3.781923 -2.040048) (xy 3.796927 -1.996041) (xy 3.805436 -1.941265) (xy 3.809206 -1.864882) + (xy 3.81 -1.767417) (xy 3.809025 -1.662024) (xy 3.804921 -1.588087) (xy 3.795919 -1.534747) + (xy 3.780252 -1.491148) (xy 3.758318 -1.450115) (xy 3.674898 -1.349053) (xy 3.556203 -1.268239) + (xy 3.404468 -1.208296) (xy 3.221927 -1.169846) (xy 3.010815 -1.153512) (xy 2.773365 -1.159916) + (xy 2.729504 -1.163401) (xy 2.612096 -1.17531) (xy 2.49223 -1.190343) (xy 2.386424 -1.206294) + (xy 2.329159 -1.21691) (xy 2.254258 -1.23242) (xy 2.19722 -1.243771) (xy 2.169123 -1.248766) + (xy 2.168199 -1.248833) (xy 2.164593 -1.268613) (xy 2.16165 -1.322407) (xy 2.159682 -1.401899) + (xy 2.159 -1.494515) (xy 2.159483 -1.59945) (xy 2.161637 -1.668627) (xy 2.166519 -1.708619) + (xy 2.175187 -1.725996) (xy 2.188698 -1.727331) (xy 2.196042 -1.7247) (xy 2.366911 -1.663036) + (xy 2.546916 -1.615359) (xy 2.72448 -1.583779) (xy 2.888025 -1.570405) (xy 3.013854 -1.575702) + (xy 3.11662 -1.599827) (xy 3.193226 -1.6406) (xy 3.239488 -1.693512) (xy 3.251217 -1.754055) + (xy 3.230422 -1.808898) (xy 3.205257 -1.838257) (xy 3.168347 -1.862212) (xy 3.113195 -1.882828) + (xy 3.0333 -1.902173) (xy 2.922163 -1.922312) (xy 2.826401 -1.937369) (xy 2.636219 -1.972919) + (xy 2.483188 -2.017374) (xy 2.362634 -2.073083) (xy 2.269885 -2.142399) (xy 2.200269 -2.227675) + (xy 2.179975 -2.262724) (xy 2.139704 -2.338917) (xy 2.138769 -1.762125) (xy 2.137833 -1.185333) + (xy 1.524 -1.185333) (xy 1.524 -1.453244) (xy 1.425698 -1.359054) (xy 1.292809 -1.254409) + (xy 1.150557 -1.18894) (xy 0.99134 -1.159524) (xy 0.93419 -1.157201) (xy 0.761957 -1.169408) + (xy 0.618339 -1.21056) (xy 0.500057 -1.281763) (xy 0.460985 -1.317015) (xy 0.386955 -1.405718) + (xy 0.332771 -1.507635) (xy 0.294623 -1.632148) (xy 0.268702 -1.788638) (xy 0.268388 -1.791268) + (xy 0.2448 -1.989667) (xy -0.41735 -1.989667) (xy -0.608043 -1.989812) (xy -0.760238 -1.989585) + (xy -0.877765 -1.987996) (xy -0.964456 -1.984052) (xy -1.024141 -1.976762) (xy -1.060652 -1.965135) + (xy -1.077818 -1.948179) (xy -1.079471 -1.924903) (xy -1.069441 -1.894314) (xy -1.051559 -1.855422) + (xy -1.039127 -1.828731) (xy -0.969825 -1.719242) (xy -0.873815 -1.640901) (xy -0.763574 -1.594829) + (xy -0.629055 -1.573158) (xy -0.471256 -1.577406) (xy -0.299116 -1.606033) (xy -0.121578 -1.657497) + (xy 0.05242 -1.73026) (xy 0.068188 -1.738097) (xy 0.132329 -1.769626) (xy 0.180289 -1.791683) + (xy 0.20048 -1.799167) (xy 0.204892 -1.779397) (xy 0.208484 -1.725667) (xy 0.210869 -1.646349) + (xy 0.211667 -1.556671) (xy 0.211667 -1.314175) (xy 0.04669 -1.262059) (xy -0.054642 -1.232446) + (xy -0.162556 -1.204646) (xy -0.253911 -1.184615) (xy -0.254935 -1.184423) (xy -0.349356 -1.171573) + (xy -0.466152 -1.162669) (xy -0.592323 -1.15798) (xy -0.714868 -1.157772) (xy -0.820786 -1.162312) + (xy -0.894292 -1.17129) (xy -0.973667 -1.187252) (xy -0.973667 -0.592667) (xy -3.788833 -0.592667) + (xy -3.788619 -0.851958) (xy -3.788404 -1.11125) (xy -3.691228 -1.227667) (xy -2.753326 -1.227667) + (xy -1.942871 -1.227684) (xy -1.132417 -1.2277) (xy -1.222755 -1.272417) (xy -1.367732 -1.366825) + (xy -1.492981 -1.493678) (xy -1.592451 -1.645357) (xy -1.660092 -1.814243) (xy -1.663808 -1.827746) + (xy -1.678724 -1.912308) (xy -1.688158 -2.024014) (xy -1.691884 -2.148001) (xy -1.689677 -2.269407) + (xy -1.68153 -2.370667) (xy -1.076545 -2.370667) (xy -0.300792 -2.370667) (xy -0.318324 -2.448404) + (xy -0.36246 -2.557083) (xy -0.437382 -2.63888) (xy -0.538762 -2.690555) (xy -0.662271 -2.708869) + (xy -0.66642 -2.708869) (xy -0.798212 -2.690354) (xy -0.91018 -2.638495) (xy -0.99706 -2.556982) + (xy -1.053588 -2.449505) (xy -1.058358 -2.434082) (xy -1.076545 -2.370667) (xy -1.68153 -2.370667) + (xy -1.681312 -2.37337) (xy -1.672832 -2.422989) (xy -1.661944 -2.476782) (xy -1.658356 -2.509334) + (xy -1.659172 -2.512894) (xy -1.673809 -2.4991) (xy -1.711713 -2.457234) (xy -1.769026 -2.391729) + (xy -1.841895 -2.307017) (xy -1.926463 -2.207531) (xy -1.980794 -2.143095) (xy -2.08913 -2.014314) + (xy -2.205237 -1.876443) (xy -2.320634 -1.739541) (xy -2.426845 -1.613664) (xy -2.515391 -1.508871) + (xy -2.524955 -1.497565) (xy -2.753326 -1.227667) (xy -3.691228 -1.227667) (xy -2.940318 -2.12725) + (xy -2.092231 -3.14325) (xy -2.929949 -3.148785) (xy -3.767667 -3.154319) (xy -3.767667 -3.788833) + (xy -1.016 -3.788833) (xy -1.016 -3.288689)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.207666 -1.024057) (xy 2.273262 -1.013955) (xy 2.330212 -0.992493) (xy 2.3744 -0.968396) + (xy 2.501053 -0.8699) (xy 2.599025 -0.741854) (xy 2.645181 -0.645583) (xy 2.685961 -0.53975) + (xy 2.687064 -0.767292) (xy 2.688167 -0.994833) (xy 3.132667 -0.994833) (xy 3.132667 0.423333) + (xy 2.688167 0.423333) (xy 2.685961 -0.052917) (xy 2.645181 0.052917) (xy 2.57002 0.196383) + (xy 2.468504 0.309449) (xy 2.344269 0.389265) (xy 2.200952 0.432979) (xy 2.152866 0.438782) + (xy 2.004569 0.436976) (xy 1.876802 0.403809) (xy 1.758684 0.3363) (xy 1.747103 0.327637) + (xy 1.651 0.254279) (xy 1.651 0.9525) (xy 1.185819 0.9525) (xy 1.180284 0.115033) + (xy 1.177538 -0.300551) (xy 1.652692 -0.300551) (xy 1.660694 -0.187641) (xy 1.687052 -0.083604) + (xy 1.732023 0.00204) (xy 1.780419 0.050112) (xy 1.846255 0.075872) (xy 1.932137 0.083376) + (xy 2.019848 0.07247) (xy 2.075026 0.052558) (xy 2.13734 0.006643) (xy 2.179162 -0.059496) + (xy 2.203024 -0.152327) (xy 2.211455 -0.278317) (xy 2.211551 -0.296333) (xy 2.204834 -0.426827) + (xy 2.182997 -0.52337) (xy 2.14351 -0.59243) (xy 2.08384 -0.640473) (xy 2.075026 -0.645225) + (xy 1.989624 -0.671278) (xy 1.892808 -0.674331) (xy 1.806616 -0.653963) (xy 1.799167 -0.650491) + (xy 1.736286 -0.597303) (xy 1.690743 -0.514903) (xy 1.662794 -0.412812) (xy 1.652692 -0.300551) + (xy 1.177538 -0.300551) (xy 1.17475 -0.722434) (xy 1.026583 -0.154842) (xy 0.878417 0.41275) + (xy 0.383355 0.424656) (xy 0.362498 0.349117) (xy 0.350927 0.304331) (xy 0.331668 0.22658) + (xy 0.306727 0.124107) (xy 0.278113 0.005155) (xy 0.251575 -0.106244) (xy 0.222709 -0.225502) + (xy 0.196674 -0.328414) (xy 0.17514 -0.408754) (xy 0.159774 -0.460292) (xy 0.152249 -0.476805) + (xy 0.144668 -0.454408) (xy 0.128693 -0.396995) (xy 0.105929 -0.310689) (xy 0.077979 -0.201608) + (xy 0.046449 -0.075875) (xy 0.034452 -0.027397) (xy -0.074083 0.41275) (xy -0.321797 0.418705) + (xy -0.569511 0.424659) (xy -0.590367 0.344621) (xy -0.666863 0.050855) (xy -0.732925 -0.203265) + (xy -0.788885 -0.419031) (xy -0.835077 -0.59774) (xy -0.871834 -0.740684) (xy -0.899489 -0.849158) + (xy -0.918375 -0.924457) (xy -0.928824 -0.967875) (xy -0.931333 -0.980507) (xy -0.91163 -0.9864) + (xy -0.85836 -0.991117) (xy -0.780289 -0.99409) (xy -0.710078 -0.994833) (xy -0.488823 -0.994833) + (xy -0.470222 -0.926042) (xy -0.459474 -0.88389) (xy -0.440884 -0.808447) (xy -0.41637 -0.707614) + (xy -0.387856 -0.589292) (xy -0.358424 -0.466258) (xy -0.265227 -0.075266) (xy -0.167992 -0.476841) + (xy -0.136895 -0.605159) (xy -0.108363 -0.722693) (xy -0.08422 -0.821947) (xy -0.066288 -0.895423) + (xy -0.056392 -0.935624) (xy -0.056142 -0.936625) (xy -0.041527 -0.994833) (xy 0.352246 -0.994833) + (xy 0.45534 -0.560917) (xy 0.486502 -0.432165) (xy 0.515084 -0.318599) (xy 0.539481 -0.226237) + (xy 0.558086 -0.161099) (xy 0.569293 -0.129204) (xy 0.571093 -0.127) (xy 0.579524 -0.146617) + (xy 0.595904 -0.201456) (xy 0.618624 -0.285499) (xy 0.646075 -0.392728) (xy 0.676647 -0.517126) + (xy 0.687146 -0.560917) (xy 0.790538 -0.994834) (xy 1.220769 -0.994833) (xy 1.651 -0.994833) + (xy 1.651 -0.846946) (xy 1.747878 -0.920895) (xy 1.817895 -0.970026) (xy 1.881399 -1.001301) + (xy 1.951775 -1.018557) (xy 2.042408 -1.02563) (xy 2.116667 -1.026583) (xy 2.207666 -1.024057)) (layer F.SilkS) (width 0.01)) + ) + + (gr_text "USB PORT\nHERE" (at 58.42 85.09) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text OBUS (at 58.42 92.71) (layer F.SilkS) + (effects (font (size 2 2) (thickness 0.3))) + ) + (dimension 68.58 (width 0.15) (layer Dwgs.User) + (gr_text "68.580 mm" (at 101.63 62.23 270) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 69.85 96.52) (xy 100.916421 96.52))) + (feature2 (pts (xy 69.85 27.94) (xy 100.916421 27.94))) + (crossbar (pts (xy 100.33 27.94) (xy 100.33 96.52))) + (arrow1a (pts (xy 100.33 96.52) (xy 99.743579 95.393496))) + (arrow1b (pts (xy 100.33 96.52) (xy 100.916421 95.393496))) + (arrow2a (pts (xy 100.33 27.94) (xy 99.743579 29.066504))) + (arrow2b (pts (xy 100.33 27.94) (xy 100.916421 29.066504))) + ) + (gr_text "OBUS module PCB v1.0\nInstructions: https://zeus.ugent.be/obus" (at 62.23 66.04 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "Made by redfast00" (at 74.295 36.83 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text INT (at 66.04 33.02) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VCC (at 50.8 33.02) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 71.882 92.71) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text VIN (at 65.278 92.71) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text TX1 (at 46.99 48.26) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RX0 (at 46.99 50.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 46.99 53.34) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 46.99 55.88) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D2 (at 46.99 58.42) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D3 (at 46.99 60.96) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D4! (at 46.99 63.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D5 (at 46.99 66.04) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D6 (at 46.99 68.58) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D7! (at 46.99 71.12) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D8! (at 46.99 73.66) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D9 (at 46.99 76.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D10 (at 46.99 78.74) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D11! (at 46.99 81.28) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D12! (at 46.99 83.82) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D13! (at 69.85 83.82) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text 3V3 (at 69.85 81.28) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text REF (at 69.85 78.74) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A0 (at 69.85 76.2) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A1 (at 69.85 73.66) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A2 (at 69.85 71.12) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A3 (at 69.85 68.58) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A4 (at 69.85 66.04) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A5 (at 69.85 63.5) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A6 (at 69.85 60.96) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A7 (at 69.85 58.42) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 69.85 53.34) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VCC (at 69.85 55.88) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 69.85 50.8) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VIN (at 69.85 48.26) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_arc (start 73.66 93.98) (end 73.66 96.52) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 43.18 93.98) (end 40.64 93.98) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 43.18 30.48) (end 43.18 27.94) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_arc (start 73.66 30.48) (end 76.2 30.48) (angle -90) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 40.64 30.48) (end 40.64 93.98) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 76.2 93.98) (end 76.2 30.48) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 43.18 27.94) (end 73.66 27.94) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 43.18 96.52) (end 73.66 96.52) (layer Edge.Cuts) (width 0.05)) + + (segment (start 43.18 48.26) (end 50.8 48.26) (width 0.25) (layer B.Cu) (net 1) (status 30)) + (segment (start 66.04 81.28) (end 73.66 81.28) (width 0.35) (layer B.Cu) (net 2) (status 30)) + (segment (start 43.18 50.8) (end 50.8 50.8) (width 0.25) (layer B.Cu) (net 3) (status 30)) + (segment (start 66.04 78.74) (end 73.66 78.74) (width 0.25) (layer B.Cu) (net 4) (status 30)) + (segment (start 43.18 53.34) (end 50.8 53.34) (width 0.25) (layer B.Cu) (net 5) (status 30)) + (segment (start 66.04 76.2) (end 73.66 76.2) (width 0.25) (layer B.Cu) (net 6) (status 30)) + (segment (start 66.04 73.66) (end 73.66 73.66) (width 0.25) (layer B.Cu) (net 8) (status 30)) + (segment (start 43.18 58.42) (end 50.8 58.42) (width 0.25) (layer B.Cu) (net 9) (status 30)) + (segment (start 71.3 30.48) (end 72.39 30.48) (width 0.25) (layer F.Cu) (net 9) (status 10)) + (via (at 72.39 30.48) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (via (at 54.61 36.83) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 54.61 54.61) (end 50.8 58.42) (width 0.25) (layer F.Cu) (net 9) (status 20)) + (segment (start 54.61 36.83) (end 54.61 54.61) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 72.39 30.48) (end 72.39 32.385) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 59.055 32.385) (end 54.61 36.83) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 72.39 32.385) (end 59.055 32.385) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 66.04 71.12) (end 73.66 71.12) (width 0.25) (layer B.Cu) (net 10) (status 30)) + (segment (start 43.18 60.96) (end 50.8 60.96) (width 0.25) (layer B.Cu) (net 11) (status 30)) + (segment (start 66.04 68.58) (end 73.66 68.58) (width 0.25) (layer B.Cu) (net 12) (status 30)) + (segment (start 43.18 63.5) (end 50.8 63.5) (width 0.25) (layer B.Cu) (net 13) (status 30)) + (segment (start 64.914999 45.575001) (end 58.056999 45.575001) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 69.85 40.64) (end 64.914999 45.575001) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 58.056999 45.575001) (end 54.864 48.768) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 54.864 59.436) (end 50.8 63.5) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 54.864 48.768) (end 54.864 59.436) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 66.04 66.04) (end 73.66 66.04) (width 0.25) (layer B.Cu) (net 14) (status 30)) + (segment (start 43.18 66.04) (end 50.8 66.04) (width 0.25) (layer B.Cu) (net 15) (status 30)) + (segment (start 66.04 63.5) (end 73.66 63.5) (width 0.25) (layer B.Cu) (net 16) (status 30)) + (segment (start 43.18 68.58) (end 50.8 68.58) (width 0.25) (layer B.Cu) (net 17) (status 30)) + (segment (start 66.04 60.96) (end 73.66 60.96) (width 0.25) (layer B.Cu) (net 18) (status 30)) + (segment (start 43.18 71.12) (end 50.8 71.12) (width 0.25) (layer B.Cu) (net 19) (status 30)) + (segment (start 55.31401 66.60599) (end 50.8 71.12) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 58.243399 46.025011) (end 55.31401 48.9544) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 65.981991 46.025011) (end 58.243399 46.025011) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 71.12 40.887002) (end 65.981991 46.025011) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 55.31401 48.9544) (end 55.31401 66.60599) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 71.12 38.1) (end 71.12 40.887002) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 69.85 38.1) (end 71.12 38.1) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 66.04 58.42) (end 73.66 58.42) (width 0.25) (layer B.Cu) (net 20) (status 30)) + (segment (start 43.18 73.66) (end 50.8 73.66) (width 0.25) (layer B.Cu) (net 21) (status 30)) + (segment (start 55.88 68.58) (end 50.8 73.66) (width 0.25) (layer F.Cu) (net 21) (status 20)) + (segment (start 55.88 30.48) (end 55.88 68.58) (width 0.25) (layer F.Cu) (net 21) (status 10)) + (segment (start 43.18 76.2) (end 50.8 76.2) (width 0.25) (layer B.Cu) (net 23) (status 30)) + (segment (start 66.04 53.34) (end 73.66 53.34) (width 0.25) (layer B.Cu) (net 24) (status 30)) + (segment (start 43.18 78.74) (end 50.8 78.74) (width 0.25) (layer B.Cu) (net 25) (status 30)) + (segment (start 43.18 81.28) (end 50.8 81.28) (width 0.25) (layer B.Cu) (net 26) (status 30)) + (segment (start 57.244999 74.835001) (end 50.8 81.28) (width 0.25) (layer F.Cu) (net 26) (status 20)) + (segment (start 57.244999 29.915999) (end 57.244999 74.835001) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 57.855999 29.304999) (end 57.244999 29.915999) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 59.784999 29.304999) (end 57.855999 29.304999) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 60.96 30.48) (end 59.784999 29.304999) (width 0.25) (layer F.Cu) (net 26) (status 10)) + (segment (start 66.04 48.26) (end 73.66 48.26) (width 0.35) (layer B.Cu) (net 27) (status 30)) + (segment (start 72.434999 87.585001) (end 67.31 92.71) (width 0.35) (layer F.Cu) (net 27) (status 20)) + (segment (start 72.434999 49.485001) (end 72.434999 87.585001) (width 0.35) (layer F.Cu) (net 27)) + (segment (start 73.66 48.26) (end 72.434999 49.485001) (width 0.35) (layer F.Cu) (net 27) (status 10)) + (segment (start 43.18 83.82) (end 50.8 83.82) (width 0.25) (layer B.Cu) (net 28) (status 30)) + (segment (start 58.42 76.2) (end 50.8 83.82) (width 0.25) (layer F.Cu) (net 28) (status 20)) + (segment (start 58.42 30.48) (end 58.42 76.2) (width 0.25) (layer F.Cu) (net 28) (status 10)) + (segment (start 66.04 83.82) (end 73.66 83.82) (width 0.25) (layer B.Cu) (net 29) (status 30)) + (segment (start 63.5 81.28) (end 66.04 83.82) (width 0.25) (layer F.Cu) (net 29) (status 20)) + (segment (start 63.5 30.48) (end 63.5 81.28) (width 0.25) (layer F.Cu) (net 29) (status 10)) + (segment (start 50.8 40.64) (end 62.23 40.64) (width 0.25) (layer B.Cu) (net 30) (status 30)) + (segment (start 50.8 38.1) (end 62.23 38.1) (width 0.25) (layer B.Cu) (net 31) (status 30)) + (segment (start 66.04 30.48) (end 69.85 30.48) (width 0.25) (layer F.Cu) (net 32) (status 30)) + + (zone (net 7) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.2 96.52) (xy 40.64 96.52) (xy 40.64 27.94) (xy 76.2 27.94) + ) + ) + (filled_polygon + (pts + (xy 57.431723 28.670025) (xy 57.431721 28.670026) (xy 57.431722 28.670026) (xy 57.344995 28.7412) (xy 57.344991 28.741204) + (xy 57.315998 28.764998) (xy 57.292204 28.793991) (xy 56.786492 29.299704) (xy 56.583411 29.16401) (xy 56.313158 29.052068) + (xy 56.02626 28.995) (xy 55.73374 28.995) (xy 55.446842 29.052068) (xy 55.176589 29.16401) (xy 54.933368 29.326525) + (xy 54.726525 29.533368) (xy 54.604805 29.715534) (xy 54.535178 29.598645) (xy 54.340269 29.382412) (xy 54.10692 29.208359) + (xy 53.844099 29.083175) (xy 53.69689 29.038524) (xy 53.467 29.159845) (xy 53.467 30.353) (xy 53.487 30.353) + (xy 53.487 30.607) (xy 53.467 30.607) (xy 53.467 31.800155) (xy 53.69689 31.921476) (xy 53.844099 31.876825) + (xy 54.10692 31.751641) (xy 54.340269 31.577588) (xy 54.535178 31.361355) (xy 54.604805 31.244466) (xy 54.726525 31.426632) + (xy 54.933368 31.633475) (xy 55.12 31.758178) (xy 55.12 35.925988) (xy 55.100256 35.912795) (xy 54.911898 35.834774) + (xy 54.711939 35.795) (xy 54.508061 35.795) (xy 54.308102 35.834774) (xy 54.119744 35.912795) (xy 53.950226 36.026063) + (xy 53.806063 36.170226) (xy 53.692795 36.339744) (xy 53.614774 36.528102) (xy 53.575 36.728061) (xy 53.575 36.931939) + (xy 53.614774 37.131898) (xy 53.692795 37.320256) (xy 53.806063 37.489774) (xy 53.85 37.533711) (xy 53.850001 54.295197) + (xy 52.094128 56.051071) (xy 52.069915 56.007) (xy 50.927 56.007) (xy 50.927 56.027) (xy 50.673 56.027) + (xy 50.673 56.007) (xy 49.530085 56.007) (xy 49.408096 56.229039) (xy 49.448754 56.363087) (xy 49.568963 56.61742) + (xy 49.736481 56.843414) (xy 49.944869 57.032385) (xy 50.130865 57.143933) (xy 50.120273 57.14832) (xy 49.885241 57.305363) + (xy 49.685363 57.505241) (xy 49.52832 57.740273) (xy 49.420147 58.001426) (xy 49.365 58.278665) (xy 49.365 58.561335) + (xy 49.420147 58.838574) (xy 49.52832 59.099727) (xy 49.685363 59.334759) (xy 49.885241 59.534637) (xy 50.117759 59.69) + (xy 49.885241 59.845363) (xy 49.685363 60.045241) (xy 49.52832 60.280273) (xy 49.420147 60.541426) (xy 49.365 60.818665) + (xy 49.365 61.101335) (xy 49.420147 61.378574) (xy 49.52832 61.639727) (xy 49.685363 61.874759) (xy 49.885241 62.074637) + (xy 50.117759 62.23) (xy 49.885241 62.385363) (xy 49.685363 62.585241) (xy 49.52832 62.820273) (xy 49.420147 63.081426) + (xy 49.365 63.358665) (xy 49.365 63.641335) (xy 49.420147 63.918574) (xy 49.52832 64.179727) (xy 49.685363 64.414759) + (xy 49.885241 64.614637) (xy 50.117759 64.77) (xy 49.885241 64.925363) (xy 49.685363 65.125241) (xy 49.52832 65.360273) + (xy 49.420147 65.621426) (xy 49.365 65.898665) (xy 49.365 66.181335) (xy 49.420147 66.458574) (xy 49.52832 66.719727) + (xy 49.685363 66.954759) (xy 49.885241 67.154637) (xy 50.117759 67.31) (xy 49.885241 67.465363) (xy 49.685363 67.665241) + (xy 49.52832 67.900273) (xy 49.420147 68.161426) (xy 49.365 68.438665) (xy 49.365 68.721335) (xy 49.420147 68.998574) + (xy 49.52832 69.259727) (xy 49.685363 69.494759) (xy 49.885241 69.694637) (xy 50.117759 69.85) (xy 49.885241 70.005363) + (xy 49.685363 70.205241) (xy 49.52832 70.440273) (xy 49.420147 70.701426) (xy 49.365 70.978665) (xy 49.365 71.261335) + (xy 49.420147 71.538574) (xy 49.52832 71.799727) (xy 49.685363 72.034759) (xy 49.885241 72.234637) (xy 50.117759 72.39) + (xy 49.885241 72.545363) (xy 49.685363 72.745241) (xy 49.52832 72.980273) (xy 49.420147 73.241426) (xy 49.365 73.518665) + (xy 49.365 73.801335) (xy 49.420147 74.078574) (xy 49.52832 74.339727) (xy 49.685363 74.574759) (xy 49.885241 74.774637) + (xy 50.117759 74.93) (xy 49.885241 75.085363) (xy 49.685363 75.285241) (xy 49.52832 75.520273) (xy 49.420147 75.781426) + (xy 49.365 76.058665) (xy 49.365 76.341335) (xy 49.420147 76.618574) (xy 49.52832 76.879727) (xy 49.685363 77.114759) + (xy 49.885241 77.314637) (xy 50.117759 77.47) (xy 49.885241 77.625363) (xy 49.685363 77.825241) (xy 49.52832 78.060273) + (xy 49.420147 78.321426) (xy 49.365 78.598665) (xy 49.365 78.881335) (xy 49.420147 79.158574) (xy 49.52832 79.419727) + (xy 49.685363 79.654759) (xy 49.885241 79.854637) (xy 50.117759 80.01) (xy 49.885241 80.165363) (xy 49.685363 80.365241) + (xy 49.52832 80.600273) (xy 49.420147 80.861426) (xy 49.365 81.138665) (xy 49.365 81.421335) (xy 49.420147 81.698574) + (xy 49.52832 81.959727) (xy 49.685363 82.194759) (xy 49.885241 82.394637) (xy 50.117759 82.55) (xy 49.885241 82.705363) + (xy 49.685363 82.905241) (xy 49.52832 83.140273) (xy 49.420147 83.401426) (xy 49.365 83.678665) (xy 49.365 83.961335) + (xy 49.420147 84.238574) (xy 49.52832 84.499727) (xy 49.685363 84.734759) (xy 49.885241 84.934637) (xy 50.120273 85.09168) + (xy 50.381426 85.199853) (xy 50.658665 85.255) (xy 50.941335 85.255) (xy 51.218574 85.199853) (xy 51.479727 85.09168) + (xy 51.714759 84.934637) (xy 51.914637 84.734759) (xy 52.07168 84.499727) (xy 52.179853 84.238574) (xy 52.235 83.961335) + (xy 52.235 83.678665) (xy 52.198688 83.496113) (xy 58.931003 76.763799) (xy 58.960001 76.740001) (xy 59.054974 76.624276) + (xy 59.125546 76.492247) (xy 59.169003 76.348986) (xy 59.18 76.237333) (xy 59.18 76.237324) (xy 59.183676 76.200001) + (xy 59.18 76.162678) (xy 59.18 31.758178) (xy 59.366632 31.633475) (xy 59.573475 31.426632) (xy 59.69 31.25224) + (xy 59.806525 31.426632) (xy 60.013368 31.633475) (xy 60.256589 31.79599) (xy 60.526842 31.907932) (xy 60.81374 31.965) + (xy 61.10626 31.965) (xy 61.393158 31.907932) (xy 61.663411 31.79599) (xy 61.906632 31.633475) (xy 62.113475 31.426632) + (xy 62.23 31.25224) (xy 62.346525 31.426632) (xy 62.553368 31.633475) (xy 62.74 31.758178) (xy 62.74 36.866256) + (xy 62.619405 36.816304) (xy 62.361486 36.765) (xy 62.098514 36.765) (xy 61.840595 36.816304) (xy 61.597641 36.916939) + (xy 61.378987 37.063038) (xy 61.193038 37.248987) (xy 61.046939 37.467641) (xy 60.946304 37.710595) (xy 60.895 37.968514) + (xy 60.895 38.231486) (xy 60.946304 38.489405) (xy 61.046939 38.732359) (xy 61.193038 38.951013) (xy 61.378987 39.136962) + (xy 61.597641 39.283061) (xy 61.80753 39.37) (xy 61.597641 39.456939) (xy 61.378987 39.603038) (xy 61.193038 39.788987) + (xy 61.046939 40.007641) (xy 60.946304 40.250595) (xy 60.895 40.508514) (xy 60.895 40.771486) (xy 60.946304 41.029405) + (xy 61.046939 41.272359) (xy 61.193038 41.491013) (xy 61.378987 41.676962) (xy 61.597641 41.823061) (xy 61.840595 41.923696) + (xy 62.098514 41.975) (xy 62.361486 41.975) (xy 62.619405 41.923696) (xy 62.74 41.873744) (xy 62.740001 81.242667) + (xy 62.736324 81.28) (xy 62.740001 81.317333) (xy 62.750245 81.421335) (xy 62.750998 81.428985) (xy 62.794454 81.572246) + (xy 62.865026 81.704276) (xy 62.936201 81.791002) (xy 62.96 81.820001) (xy 62.988998 81.843799) (xy 64.641312 83.496114) + (xy 64.605 83.678665) (xy 64.605 83.961335) (xy 64.660147 84.238574) (xy 64.76832 84.499727) (xy 64.925363 84.734759) + (xy 65.125241 84.934637) (xy 65.360273 85.09168) (xy 65.621426 85.199853) (xy 65.898665 85.255) (xy 66.181335 85.255) + (xy 66.458574 85.199853) (xy 66.719727 85.09168) (xy 66.954759 84.934637) (xy 67.154637 84.734759) (xy 67.31168 84.499727) + (xy 67.419853 84.238574) (xy 67.475 83.961335) (xy 67.475 83.678665) (xy 67.419853 83.401426) (xy 67.31168 83.140273) + (xy 67.154637 82.905241) (xy 66.954759 82.705363) (xy 66.722241 82.55) (xy 66.954759 82.394637) (xy 67.154637 82.194759) + (xy 67.31168 81.959727) (xy 67.419853 81.698574) (xy 67.475 81.421335) (xy 67.475 81.138665) (xy 67.419853 80.861426) + (xy 67.31168 80.600273) (xy 67.154637 80.365241) (xy 66.954759 80.165363) (xy 66.722241 80.01) (xy 66.954759 79.854637) + (xy 67.154637 79.654759) (xy 67.31168 79.419727) (xy 67.419853 79.158574) (xy 67.475 78.881335) (xy 67.475 78.598665) + (xy 67.419853 78.321426) (xy 67.31168 78.060273) (xy 67.154637 77.825241) (xy 66.954759 77.625363) (xy 66.722241 77.47) + (xy 66.954759 77.314637) (xy 67.154637 77.114759) (xy 67.31168 76.879727) (xy 67.419853 76.618574) (xy 67.475 76.341335) + (xy 67.475 76.058665) (xy 67.419853 75.781426) (xy 67.31168 75.520273) (xy 67.154637 75.285241) (xy 66.954759 75.085363) + (xy 66.722241 74.93) (xy 66.954759 74.774637) (xy 67.154637 74.574759) (xy 67.31168 74.339727) (xy 67.419853 74.078574) + (xy 67.475 73.801335) (xy 67.475 73.518665) (xy 67.419853 73.241426) (xy 67.31168 72.980273) (xy 67.154637 72.745241) + (xy 66.954759 72.545363) (xy 66.722241 72.39) (xy 66.954759 72.234637) (xy 67.154637 72.034759) (xy 67.31168 71.799727) + (xy 67.419853 71.538574) (xy 67.475 71.261335) (xy 67.475 70.978665) (xy 67.419853 70.701426) (xy 67.31168 70.440273) + (xy 67.154637 70.205241) (xy 66.954759 70.005363) (xy 66.722241 69.85) (xy 66.954759 69.694637) (xy 67.154637 69.494759) + (xy 67.31168 69.259727) (xy 67.419853 68.998574) (xy 67.475 68.721335) (xy 67.475 68.438665) (xy 67.419853 68.161426) + (xy 67.31168 67.900273) (xy 67.154637 67.665241) (xy 66.954759 67.465363) (xy 66.722241 67.31) (xy 66.954759 67.154637) + (xy 67.154637 66.954759) (xy 67.31168 66.719727) (xy 67.419853 66.458574) (xy 67.475 66.181335) (xy 67.475 65.898665) + (xy 67.419853 65.621426) (xy 67.31168 65.360273) (xy 67.154637 65.125241) (xy 66.954759 64.925363) (xy 66.722241 64.77) + (xy 66.954759 64.614637) (xy 67.154637 64.414759) (xy 67.31168 64.179727) (xy 67.419853 63.918574) (xy 67.475 63.641335) + (xy 67.475 63.358665) (xy 67.419853 63.081426) (xy 67.31168 62.820273) (xy 67.154637 62.585241) (xy 66.954759 62.385363) + (xy 66.722241 62.23) (xy 66.954759 62.074637) (xy 67.154637 61.874759) (xy 67.31168 61.639727) (xy 67.419853 61.378574) + (xy 67.475 61.101335) (xy 67.475 60.818665) (xy 67.419853 60.541426) (xy 67.31168 60.280273) (xy 67.154637 60.045241) + (xy 66.954759 59.845363) (xy 66.722241 59.69) (xy 66.954759 59.534637) (xy 67.154637 59.334759) (xy 67.31168 59.099727) + (xy 67.419853 58.838574) (xy 67.475 58.561335) (xy 67.475 58.278665) (xy 67.419853 58.001426) (xy 67.31168 57.740273) + (xy 67.154637 57.505241) (xy 66.954759 57.305363) (xy 66.722241 57.15) (xy 66.954759 56.994637) (xy 67.154637 56.794759) + (xy 67.31168 56.559727) (xy 67.419853 56.298574) (xy 67.475 56.021335) (xy 67.475 55.738665) (xy 67.419853 55.461426) + (xy 67.31168 55.200273) (xy 67.154637 54.965241) (xy 66.954759 54.765363) (xy 66.722241 54.61) (xy 66.954759 54.454637) + (xy 67.154637 54.254759) (xy 67.31168 54.019727) (xy 67.419853 53.758574) (xy 67.475 53.481335) (xy 67.475 53.198665) + (xy 67.419853 52.921426) (xy 67.31168 52.660273) (xy 67.154637 52.425241) (xy 66.954759 52.225363) (xy 66.719727 52.06832) + (xy 66.709135 52.063933) (xy 66.895131 51.952385) (xy 67.103519 51.763414) (xy 67.271037 51.53742) (xy 67.391246 51.283087) + (xy 67.431904 51.149039) (xy 67.309915 50.927) (xy 66.167 50.927) (xy 66.167 50.947) (xy 65.913 50.947) + (xy 65.913 50.927) (xy 64.770085 50.927) (xy 64.648096 51.149039) (xy 64.688754 51.283087) (xy 64.808963 51.53742) + (xy 64.976481 51.763414) (xy 65.184869 51.952385) (xy 65.370865 52.063933) (xy 65.360273 52.06832) (xy 65.125241 52.225363) + (xy 64.925363 52.425241) (xy 64.76832 52.660273) (xy 64.660147 52.921426) (xy 64.605 53.198665) (xy 64.605 53.481335) + (xy 64.660147 53.758574) (xy 64.76832 54.019727) (xy 64.925363 54.254759) (xy 65.125241 54.454637) (xy 65.357759 54.61) + (xy 65.125241 54.765363) (xy 64.925363 54.965241) (xy 64.76832 55.200273) (xy 64.660147 55.461426) (xy 64.605 55.738665) + (xy 64.605 56.021335) (xy 64.660147 56.298574) (xy 64.76832 56.559727) (xy 64.925363 56.794759) (xy 65.125241 56.994637) + (xy 65.357759 57.15) (xy 65.125241 57.305363) (xy 64.925363 57.505241) (xy 64.76832 57.740273) (xy 64.660147 58.001426) + (xy 64.605 58.278665) (xy 64.605 58.561335) (xy 64.660147 58.838574) (xy 64.76832 59.099727) (xy 64.925363 59.334759) + (xy 65.125241 59.534637) (xy 65.357759 59.69) (xy 65.125241 59.845363) (xy 64.925363 60.045241) (xy 64.76832 60.280273) + (xy 64.660147 60.541426) (xy 64.605 60.818665) (xy 64.605 61.101335) (xy 64.660147 61.378574) (xy 64.76832 61.639727) + (xy 64.925363 61.874759) (xy 65.125241 62.074637) (xy 65.357759 62.23) (xy 65.125241 62.385363) (xy 64.925363 62.585241) + (xy 64.76832 62.820273) (xy 64.660147 63.081426) (xy 64.605 63.358665) (xy 64.605 63.641335) (xy 64.660147 63.918574) + (xy 64.76832 64.179727) (xy 64.925363 64.414759) (xy 65.125241 64.614637) (xy 65.357759 64.77) (xy 65.125241 64.925363) + (xy 64.925363 65.125241) (xy 64.76832 65.360273) (xy 64.660147 65.621426) (xy 64.605 65.898665) (xy 64.605 66.181335) + (xy 64.660147 66.458574) (xy 64.76832 66.719727) (xy 64.925363 66.954759) (xy 65.125241 67.154637) (xy 65.357759 67.31) + (xy 65.125241 67.465363) (xy 64.925363 67.665241) (xy 64.76832 67.900273) (xy 64.660147 68.161426) (xy 64.605 68.438665) + (xy 64.605 68.721335) (xy 64.660147 68.998574) (xy 64.76832 69.259727) (xy 64.925363 69.494759) (xy 65.125241 69.694637) + (xy 65.357759 69.85) (xy 65.125241 70.005363) (xy 64.925363 70.205241) (xy 64.76832 70.440273) (xy 64.660147 70.701426) + (xy 64.605 70.978665) (xy 64.605 71.261335) (xy 64.660147 71.538574) (xy 64.76832 71.799727) (xy 64.925363 72.034759) + (xy 65.125241 72.234637) (xy 65.357759 72.39) (xy 65.125241 72.545363) (xy 64.925363 72.745241) (xy 64.76832 72.980273) + (xy 64.660147 73.241426) (xy 64.605 73.518665) (xy 64.605 73.801335) (xy 64.660147 74.078574) (xy 64.76832 74.339727) + (xy 64.925363 74.574759) (xy 65.125241 74.774637) (xy 65.357759 74.93) (xy 65.125241 75.085363) (xy 64.925363 75.285241) + (xy 64.76832 75.520273) (xy 64.660147 75.781426) (xy 64.605 76.058665) (xy 64.605 76.341335) (xy 64.660147 76.618574) + (xy 64.76832 76.879727) (xy 64.925363 77.114759) (xy 65.125241 77.314637) (xy 65.357759 77.47) (xy 65.125241 77.625363) + (xy 64.925363 77.825241) (xy 64.76832 78.060273) (xy 64.660147 78.321426) (xy 64.605 78.598665) (xy 64.605 78.881335) + (xy 64.660147 79.158574) (xy 64.76832 79.419727) (xy 64.925363 79.654759) (xy 65.125241 79.854637) (xy 65.357759 80.01) + (xy 65.125241 80.165363) (xy 64.925363 80.365241) (xy 64.76832 80.600273) (xy 64.660147 80.861426) (xy 64.605 81.138665) + (xy 64.605 81.310199) (xy 64.26 80.965199) (xy 64.26 48.118665) (xy 64.605 48.118665) (xy 64.605 48.401335) + (xy 64.660147 48.678574) (xy 64.76832 48.939727) (xy 64.925363 49.174759) (xy 65.125241 49.374637) (xy 65.360273 49.53168) + (xy 65.370865 49.536067) (xy 65.184869 49.647615) (xy 64.976481 49.836586) (xy 64.808963 50.06258) (xy 64.688754 50.316913) + (xy 64.648096 50.450961) (xy 64.770085 50.673) (xy 65.913 50.673) (xy 65.913 50.653) (xy 66.167 50.653) + (xy 66.167 50.673) (xy 67.309915 50.673) (xy 67.431904 50.450961) (xy 67.391246 50.316913) (xy 67.271037 50.06258) + (xy 67.103519 49.836586) (xy 66.895131 49.647615) (xy 66.709135 49.536067) (xy 66.719727 49.53168) (xy 66.954759 49.374637) + (xy 67.154637 49.174759) (xy 67.31168 48.939727) (xy 67.419853 48.678574) (xy 67.475 48.401335) (xy 67.475 48.118665) + (xy 67.419853 47.841426) (xy 67.31168 47.580273) (xy 67.154637 47.345241) (xy 66.954759 47.145363) (xy 66.719727 46.98832) + (xy 66.458574 46.880147) (xy 66.181335 46.825) (xy 65.898665 46.825) (xy 65.621426 46.880147) (xy 65.360273 46.98832) + (xy 65.125241 47.145363) (xy 64.925363 47.345241) (xy 64.76832 47.580273) (xy 64.660147 47.841426) (xy 64.605 48.118665) + (xy 64.26 48.118665) (xy 64.26 37.968514) (xy 68.515 37.968514) (xy 68.515 38.231486) (xy 68.566304 38.489405) + (xy 68.666939 38.732359) (xy 68.813038 38.951013) (xy 68.998987 39.136962) (xy 69.217641 39.283061) (xy 69.42753 39.37) + (xy 69.217641 39.456939) (xy 68.998987 39.603038) (xy 68.813038 39.788987) (xy 68.666939 40.007641) (xy 68.566304 40.250595) + (xy 68.515 40.508514) (xy 68.515 40.771486) (xy 68.566304 41.029405) (xy 68.666939 41.272359) (xy 68.813038 41.491013) + (xy 68.998987 41.676962) (xy 69.217641 41.823061) (xy 69.460595 41.923696) (xy 69.718514 41.975) (xy 69.981486 41.975) + (xy 70.239405 41.923696) (xy 70.482359 41.823061) (xy 70.701013 41.676962) (xy 70.886962 41.491013) (xy 71.033061 41.272359) + (xy 71.133696 41.029405) (xy 71.185 40.771486) (xy 71.185 40.508514) (xy 71.133696 40.250595) (xy 71.033061 40.007641) + (xy 70.886962 39.788987) (xy 70.701013 39.603038) (xy 70.482359 39.456939) (xy 70.27247 39.37) (xy 70.482359 39.283061) + (xy 70.701013 39.136962) (xy 70.886962 38.951013) (xy 71.033061 38.732359) (xy 71.133696 38.489405) (xy 71.185 38.231486) + (xy 71.185 37.968514) (xy 71.133696 37.710595) (xy 71.033061 37.467641) (xy 70.886962 37.248987) (xy 70.701013 37.063038) + (xy 70.482359 36.916939) (xy 70.239405 36.816304) (xy 69.981486 36.765) (xy 69.718514 36.765) (xy 69.460595 36.816304) + (xy 69.217641 36.916939) (xy 68.998987 37.063038) (xy 68.813038 37.248987) (xy 68.666939 37.467641) (xy 68.566304 37.710595) + (xy 68.515 37.968514) (xy 64.26 37.968514) (xy 64.26 31.758178) (xy 64.446632 31.633475) (xy 64.578487 31.50162) + (xy 64.600498 31.57418) (xy 64.659463 31.684494) (xy 64.738815 31.781185) (xy 64.835506 31.860537) (xy 64.94582 31.919502) + (xy 65.065518 31.955812) (xy 65.19 31.968072) (xy 66.89 31.968072) (xy 67.014482 31.955812) (xy 67.13418 31.919502) + (xy 67.244494 31.860537) (xy 67.341185 31.781185) (xy 67.420537 31.684494) (xy 67.479502 31.57418) (xy 67.515812 31.454482) + (xy 67.528072 31.33) (xy 67.528072 31.24) (xy 68.712913 31.24) (xy 68.724188 31.354482) (xy 68.760498 31.47418) + (xy 68.819463 31.584494) (xy 68.898815 31.681185) (xy 68.995506 31.760537) (xy 69.10582 31.819502) (xy 69.225518 31.855812) + (xy 69.35 31.868072) (xy 70.5 31.868072) (xy 70.623827 31.855941) (xy 70.649935 31.848051) (xy 70.675518 31.855812) + (xy 70.8 31.868072) (xy 71.8 31.868072) (xy 71.924482 31.855812) (xy 72.04418 31.819502) (xy 72.154494 31.760537) + (xy 72.251185 31.681185) (xy 72.330537 31.584494) (xy 72.367683 31.515) (xy 72.491939 31.515) (xy 72.691898 31.475226) + (xy 72.880256 31.397205) (xy 73.049774 31.283937) (xy 73.193937 31.139774) (xy 73.307205 30.970256) (xy 73.385226 30.781898) + (xy 73.425 30.581939) (xy 73.425 30.378061) (xy 73.385226 30.178102) (xy 73.307205 29.989744) (xy 73.193937 29.820226) + (xy 73.049774 29.676063) (xy 72.880256 29.562795) (xy 72.691898 29.484774) (xy 72.491939 29.445) (xy 72.367683 29.445) + (xy 72.330537 29.375506) (xy 72.251185 29.278815) (xy 72.154494 29.199463) (xy 72.04418 29.140498) (xy 71.924482 29.104188) + (xy 71.8 29.091928) (xy 70.8 29.091928) (xy 70.674864 29.104319) (xy 70.649936 29.111909) (xy 70.624482 29.104188) + (xy 70.5 29.091928) (xy 69.35 29.091928) (xy 69.225518 29.104188) (xy 69.10582 29.140498) (xy 68.995506 29.199463) + (xy 68.898815 29.278815) (xy 68.819463 29.375506) (xy 68.760498 29.48582) (xy 68.724188 29.605518) (xy 68.712913 29.72) + (xy 67.528072 29.72) (xy 67.528072 29.63) (xy 67.515812 29.505518) (xy 67.479502 29.38582) (xy 67.420537 29.275506) + (xy 67.341185 29.178815) (xy 67.244494 29.099463) (xy 67.13418 29.040498) (xy 67.014482 29.004188) (xy 66.89 28.991928) + (xy 65.19 28.991928) (xy 65.065518 29.004188) (xy 64.94582 29.040498) (xy 64.835506 29.099463) (xy 64.738815 29.178815) + (xy 64.659463 29.275506) (xy 64.600498 29.38582) (xy 64.578487 29.45838) (xy 64.446632 29.326525) (xy 64.203411 29.16401) + (xy 63.933158 29.052068) (xy 63.64626 28.995) (xy 63.35374 28.995) (xy 63.066842 29.052068) (xy 62.796589 29.16401) + (xy 62.553368 29.326525) (xy 62.346525 29.533368) (xy 62.23 29.70776) (xy 62.113475 29.533368) (xy 61.906632 29.326525) + (xy 61.663411 29.16401) (xy 61.393158 29.052068) (xy 61.10626 28.995) (xy 60.81374 28.995) (xy 60.593592 29.038791) + (xy 60.348803 28.794002) (xy 60.325 28.764998) (xy 60.209275 28.670025) (xy 60.078269 28.6) (xy 73.627721 28.6) + (xy 74.024545 28.638909) (xy 74.375208 28.74478) (xy 74.698625 28.916744) (xy 74.982484 29.148254) (xy 75.215965 29.430486) + (xy 75.390183 29.752695) (xy 75.498502 30.102614) (xy 75.540001 30.497452) (xy 75.54 93.947721) (xy 75.501091 94.344545) + (xy 75.39522 94.695206) (xy 75.223257 95.018623) (xy 74.991748 95.302482) (xy 74.709514 95.535965) (xy 74.387304 95.710184) + (xy 74.037385 95.818502) (xy 73.642557 95.86) (xy 43.212279 95.86) (xy 42.815455 95.821091) (xy 42.464794 95.71522) + (xy 42.141377 95.543257) (xy 41.857518 95.311748) (xy 41.624035 95.029514) (xy 41.449816 94.707304) (xy 41.341498 94.357385) + (xy 41.3 93.962557) (xy 41.3 92.56374) (xy 65.825 92.56374) (xy 65.825 92.85626) (xy 65.882068 93.143158) + (xy 65.99401 93.413411) (xy 66.156525 93.656632) (xy 66.363368 93.863475) (xy 66.606589 94.02599) (xy 66.876842 94.137932) + (xy 67.16374 94.195) (xy 67.45626 94.195) (xy 67.743158 94.137932) (xy 68.013411 94.02599) (xy 68.256632 93.863475) + (xy 68.388487 93.73162) (xy 68.410498 93.80418) (xy 68.469463 93.914494) (xy 68.548815 94.011185) (xy 68.645506 94.090537) + (xy 68.75582 94.149502) (xy 68.875518 94.185812) (xy 69 94.198072) (xy 69.56425 94.195) (xy 69.723 94.03625) + (xy 69.723 92.837) (xy 69.977 92.837) (xy 69.977 94.03625) (xy 70.13575 94.195) (xy 70.7 94.198072) + (xy 70.824482 94.185812) (xy 70.94418 94.149502) (xy 71.054494 94.090537) (xy 71.151185 94.011185) (xy 71.230537 93.914494) + (xy 71.289502 93.80418) (xy 71.325812 93.684482) (xy 71.338072 93.56) (xy 71.335 92.99575) (xy 71.17625 92.837) + (xy 69.977 92.837) (xy 69.723 92.837) (xy 69.703 92.837) (xy 69.703 92.583) (xy 69.723 92.583) + (xy 69.723 92.563) (xy 69.977 92.563) (xy 69.977 92.583) (xy 71.17625 92.583) (xy 71.335 92.42425) + (xy 71.338072 91.86) (xy 71.325812 91.735518) (xy 71.289502 91.61582) (xy 71.230537 91.505506) (xy 71.151185 91.408815) + (xy 71.054494 91.329463) (xy 70.94418 91.270498) (xy 70.824482 91.234188) (xy 70.7 91.221928) (xy 70.13575 91.225) + (xy 69.977002 91.383748) (xy 69.977002 91.225) (xy 69.940512 91.225) (xy 72.979617 88.185896) (xy 73.010527 88.160529) + (xy 73.066118 88.092791) (xy 73.111748 88.037191) (xy 73.186961 87.896475) (xy 73.186962 87.896474) (xy 73.233279 87.743789) + (xy 73.244999 87.624792) (xy 73.244999 87.62479) (xy 73.248918 87.585002) (xy 73.244999 87.545214) (xy 73.244999 85.308072) + (xy 74.51 85.308072) (xy 74.634482 85.295812) (xy 74.75418 85.259502) (xy 74.864494 85.200537) (xy 74.961185 85.121185) + (xy 75.040537 85.024494) (xy 75.099502 84.91418) (xy 75.135812 84.794482) (xy 75.148072 84.67) (xy 75.148072 82.97) + (xy 75.135812 82.845518) (xy 75.099502 82.72582) (xy 75.040537 82.615506) (xy 74.961185 82.518815) (xy 74.864494 82.439463) + (xy 74.75418 82.380498) (xy 74.68162 82.358487) (xy 74.813475 82.226632) (xy 74.97599 81.983411) (xy 75.087932 81.713158) + (xy 75.145 81.42626) (xy 75.145 81.13374) (xy 75.087932 80.846842) (xy 74.97599 80.576589) (xy 74.813475 80.333368) + (xy 74.606632 80.126525) (xy 74.43224 80.01) (xy 74.606632 79.893475) (xy 74.813475 79.686632) (xy 74.97599 79.443411) + (xy 75.087932 79.173158) (xy 75.145 78.88626) (xy 75.145 78.59374) (xy 75.087932 78.306842) (xy 74.97599 78.036589) + (xy 74.813475 77.793368) (xy 74.606632 77.586525) (xy 74.43224 77.47) (xy 74.606632 77.353475) (xy 74.813475 77.146632) + (xy 74.97599 76.903411) (xy 75.087932 76.633158) (xy 75.145 76.34626) (xy 75.145 76.05374) (xy 75.087932 75.766842) + (xy 74.97599 75.496589) (xy 74.813475 75.253368) (xy 74.606632 75.046525) (xy 74.43224 74.93) (xy 74.606632 74.813475) + (xy 74.813475 74.606632) (xy 74.97599 74.363411) (xy 75.087932 74.093158) (xy 75.145 73.80626) (xy 75.145 73.51374) + (xy 75.087932 73.226842) (xy 74.97599 72.956589) (xy 74.813475 72.713368) (xy 74.606632 72.506525) (xy 74.43224 72.39) + (xy 74.606632 72.273475) (xy 74.813475 72.066632) (xy 74.97599 71.823411) (xy 75.087932 71.553158) (xy 75.145 71.26626) + (xy 75.145 70.97374) (xy 75.087932 70.686842) (xy 74.97599 70.416589) (xy 74.813475 70.173368) (xy 74.606632 69.966525) + (xy 74.43224 69.85) (xy 74.606632 69.733475) (xy 74.813475 69.526632) (xy 74.97599 69.283411) (xy 75.087932 69.013158) + (xy 75.145 68.72626) (xy 75.145 68.43374) (xy 75.087932 68.146842) (xy 74.97599 67.876589) (xy 74.813475 67.633368) + (xy 74.606632 67.426525) (xy 74.43224 67.31) (xy 74.606632 67.193475) (xy 74.813475 66.986632) (xy 74.97599 66.743411) + (xy 75.087932 66.473158) (xy 75.145 66.18626) (xy 75.145 65.89374) (xy 75.087932 65.606842) (xy 74.97599 65.336589) + (xy 74.813475 65.093368) (xy 74.606632 64.886525) (xy 74.43224 64.77) (xy 74.606632 64.653475) (xy 74.813475 64.446632) + (xy 74.97599 64.203411) (xy 75.087932 63.933158) (xy 75.145 63.64626) (xy 75.145 63.35374) (xy 75.087932 63.066842) + (xy 74.97599 62.796589) (xy 74.813475 62.553368) (xy 74.606632 62.346525) (xy 74.43224 62.23) (xy 74.606632 62.113475) + (xy 74.813475 61.906632) (xy 74.97599 61.663411) (xy 75.087932 61.393158) (xy 75.145 61.10626) (xy 75.145 60.81374) + (xy 75.087932 60.526842) (xy 74.97599 60.256589) (xy 74.813475 60.013368) (xy 74.606632 59.806525) (xy 74.43224 59.69) + (xy 74.606632 59.573475) (xy 74.813475 59.366632) (xy 74.97599 59.123411) (xy 75.087932 58.853158) (xy 75.145 58.56626) + (xy 75.145 58.27374) (xy 75.087932 57.986842) (xy 74.97599 57.716589) (xy 74.813475 57.473368) (xy 74.606632 57.266525) + (xy 74.43224 57.15) (xy 74.606632 57.033475) (xy 74.813475 56.826632) (xy 74.97599 56.583411) (xy 75.087932 56.313158) + (xy 75.145 56.02626) (xy 75.145 55.73374) (xy 75.087932 55.446842) (xy 74.97599 55.176589) (xy 74.813475 54.933368) + (xy 74.606632 54.726525) (xy 74.43224 54.61) (xy 74.606632 54.493475) (xy 74.813475 54.286632) (xy 74.97599 54.043411) + (xy 75.087932 53.773158) (xy 75.145 53.48626) (xy 75.145 53.19374) (xy 75.087932 52.906842) (xy 74.97599 52.636589) + (xy 74.813475 52.393368) (xy 74.606632 52.186525) (xy 74.424466 52.064805) (xy 74.541355 51.995178) (xy 74.757588 51.800269) + (xy 74.931641 51.56692) (xy 75.056825 51.304099) (xy 75.101476 51.15689) (xy 74.980155 50.927) (xy 73.787 50.927) + (xy 73.787 50.947) (xy 73.533 50.947) (xy 73.533 50.927) (xy 73.513 50.927) (xy 73.513 50.673) + (xy 73.533 50.673) (xy 73.533 50.653) (xy 73.787 50.653) (xy 73.787 50.673) (xy 74.980155 50.673) + (xy 75.101476 50.44311) (xy 75.056825 50.295901) (xy 74.931641 50.03308) (xy 74.757588 49.799731) (xy 74.541355 49.604822) + (xy 74.424466 49.535195) (xy 74.606632 49.413475) (xy 74.813475 49.206632) (xy 74.97599 48.963411) (xy 75.087932 48.693158) + (xy 75.145 48.40626) (xy 75.145 48.11374) (xy 75.087932 47.826842) (xy 74.97599 47.556589) (xy 74.813475 47.313368) + (xy 74.606632 47.106525) (xy 74.363411 46.94401) (xy 74.093158 46.832068) (xy 73.80626 46.775) (xy 73.51374 46.775) + (xy 73.226842 46.832068) (xy 72.956589 46.94401) (xy 72.713368 47.106525) (xy 72.506525 47.313368) (xy 72.34401 47.556589) + (xy 72.232068 47.826842) (xy 72.175 48.11374) (xy 72.175 48.40626) (xy 72.207059 48.567429) (xy 71.890386 48.884102) + (xy 71.859471 48.909473) (xy 71.789702 48.994488) (xy 71.75825 49.032812) (xy 71.743718 49.06) (xy 71.683036 49.173529) + (xy 71.636719 49.326214) (xy 71.627468 49.420147) (xy 71.62108 49.485001) (xy 71.624999 49.524789) (xy 71.625 87.249487) + (xy 67.617429 91.257059) (xy 67.45626 91.225) (xy 67.16374 91.225) (xy 66.876842 91.282068) (xy 66.606589 91.39401) + (xy 66.363368 91.556525) (xy 66.156525 91.763368) (xy 65.99401 92.006589) (xy 65.882068 92.276842) (xy 65.825 92.56374) + (xy 41.3 92.56374) (xy 41.3 82.97) (xy 41.691928 82.97) (xy 41.691928 84.67) (xy 41.704188 84.794482) + (xy 41.740498 84.91418) (xy 41.799463 85.024494) (xy 41.878815 85.121185) (xy 41.975506 85.200537) (xy 42.08582 85.259502) + (xy 42.205518 85.295812) (xy 42.33 85.308072) (xy 44.03 85.308072) (xy 44.154482 85.295812) (xy 44.27418 85.259502) + (xy 44.384494 85.200537) (xy 44.481185 85.121185) (xy 44.560537 85.024494) (xy 44.619502 84.91418) (xy 44.655812 84.794482) + (xy 44.668072 84.67) (xy 44.668072 82.97) (xy 44.655812 82.845518) (xy 44.619502 82.72582) (xy 44.560537 82.615506) + (xy 44.481185 82.518815) (xy 44.384494 82.439463) (xy 44.27418 82.380498) (xy 44.20162 82.358487) (xy 44.333475 82.226632) + (xy 44.49599 81.983411) (xy 44.607932 81.713158) (xy 44.665 81.42626) (xy 44.665 81.13374) (xy 44.607932 80.846842) + (xy 44.49599 80.576589) (xy 44.333475 80.333368) (xy 44.126632 80.126525) (xy 43.95224 80.01) (xy 44.126632 79.893475) + (xy 44.333475 79.686632) (xy 44.49599 79.443411) (xy 44.607932 79.173158) (xy 44.665 78.88626) (xy 44.665 78.59374) + (xy 44.607932 78.306842) (xy 44.49599 78.036589) (xy 44.333475 77.793368) (xy 44.126632 77.586525) (xy 43.95224 77.47) + (xy 44.126632 77.353475) (xy 44.333475 77.146632) (xy 44.49599 76.903411) (xy 44.607932 76.633158) (xy 44.665 76.34626) + (xy 44.665 76.05374) (xy 44.607932 75.766842) (xy 44.49599 75.496589) (xy 44.333475 75.253368) (xy 44.126632 75.046525) + (xy 43.95224 74.93) (xy 44.126632 74.813475) (xy 44.333475 74.606632) (xy 44.49599 74.363411) (xy 44.607932 74.093158) + (xy 44.665 73.80626) (xy 44.665 73.51374) (xy 44.607932 73.226842) (xy 44.49599 72.956589) (xy 44.333475 72.713368) + (xy 44.126632 72.506525) (xy 43.95224 72.39) (xy 44.126632 72.273475) (xy 44.333475 72.066632) (xy 44.49599 71.823411) + (xy 44.607932 71.553158) (xy 44.665 71.26626) (xy 44.665 70.97374) (xy 44.607932 70.686842) (xy 44.49599 70.416589) + (xy 44.333475 70.173368) (xy 44.126632 69.966525) (xy 43.95224 69.85) (xy 44.126632 69.733475) (xy 44.333475 69.526632) + (xy 44.49599 69.283411) (xy 44.607932 69.013158) (xy 44.665 68.72626) (xy 44.665 68.43374) (xy 44.607932 68.146842) + (xy 44.49599 67.876589) (xy 44.333475 67.633368) (xy 44.126632 67.426525) (xy 43.95224 67.31) (xy 44.126632 67.193475) + (xy 44.333475 66.986632) (xy 44.49599 66.743411) (xy 44.607932 66.473158) (xy 44.665 66.18626) (xy 44.665 65.89374) + (xy 44.607932 65.606842) (xy 44.49599 65.336589) (xy 44.333475 65.093368) (xy 44.126632 64.886525) (xy 43.95224 64.77) + (xy 44.126632 64.653475) (xy 44.333475 64.446632) (xy 44.49599 64.203411) (xy 44.607932 63.933158) (xy 44.665 63.64626) + (xy 44.665 63.35374) (xy 44.607932 63.066842) (xy 44.49599 62.796589) (xy 44.333475 62.553368) (xy 44.126632 62.346525) + (xy 43.95224 62.23) (xy 44.126632 62.113475) (xy 44.333475 61.906632) (xy 44.49599 61.663411) (xy 44.607932 61.393158) + (xy 44.665 61.10626) (xy 44.665 60.81374) (xy 44.607932 60.526842) (xy 44.49599 60.256589) (xy 44.333475 60.013368) + (xy 44.126632 59.806525) (xy 43.95224 59.69) (xy 44.126632 59.573475) (xy 44.333475 59.366632) (xy 44.49599 59.123411) + (xy 44.607932 58.853158) (xy 44.665 58.56626) (xy 44.665 58.27374) (xy 44.607932 57.986842) (xy 44.49599 57.716589) + (xy 44.333475 57.473368) (xy 44.126632 57.266525) (xy 43.944466 57.144805) (xy 44.061355 57.075178) (xy 44.277588 56.880269) + (xy 44.451641 56.64692) (xy 44.576825 56.384099) (xy 44.621476 56.23689) (xy 44.500155 56.007) (xy 43.307 56.007) + (xy 43.307 56.027) (xy 43.053 56.027) (xy 43.053 56.007) (xy 41.859845 56.007) (xy 41.738524 56.23689) + (xy 41.783175 56.384099) (xy 41.908359 56.64692) (xy 42.082412 56.880269) (xy 42.298645 57.075178) (xy 42.415534 57.144805) + (xy 42.233368 57.266525) (xy 42.026525 57.473368) (xy 41.86401 57.716589) (xy 41.752068 57.986842) (xy 41.695 58.27374) + (xy 41.695 58.56626) (xy 41.752068 58.853158) (xy 41.86401 59.123411) (xy 42.026525 59.366632) (xy 42.233368 59.573475) + (xy 42.40776 59.69) (xy 42.233368 59.806525) (xy 42.026525 60.013368) (xy 41.86401 60.256589) (xy 41.752068 60.526842) + (xy 41.695 60.81374) (xy 41.695 61.10626) (xy 41.752068 61.393158) (xy 41.86401 61.663411) (xy 42.026525 61.906632) + (xy 42.233368 62.113475) (xy 42.40776 62.23) (xy 42.233368 62.346525) (xy 42.026525 62.553368) (xy 41.86401 62.796589) + (xy 41.752068 63.066842) (xy 41.695 63.35374) (xy 41.695 63.64626) (xy 41.752068 63.933158) (xy 41.86401 64.203411) + (xy 42.026525 64.446632) (xy 42.233368 64.653475) (xy 42.40776 64.77) (xy 42.233368 64.886525) (xy 42.026525 65.093368) + (xy 41.86401 65.336589) (xy 41.752068 65.606842) (xy 41.695 65.89374) (xy 41.695 66.18626) (xy 41.752068 66.473158) + (xy 41.86401 66.743411) (xy 42.026525 66.986632) (xy 42.233368 67.193475) (xy 42.40776 67.31) (xy 42.233368 67.426525) + (xy 42.026525 67.633368) (xy 41.86401 67.876589) (xy 41.752068 68.146842) (xy 41.695 68.43374) (xy 41.695 68.72626) + (xy 41.752068 69.013158) (xy 41.86401 69.283411) (xy 42.026525 69.526632) (xy 42.233368 69.733475) (xy 42.40776 69.85) + (xy 42.233368 69.966525) (xy 42.026525 70.173368) (xy 41.86401 70.416589) (xy 41.752068 70.686842) (xy 41.695 70.97374) + (xy 41.695 71.26626) (xy 41.752068 71.553158) (xy 41.86401 71.823411) (xy 42.026525 72.066632) (xy 42.233368 72.273475) + (xy 42.40776 72.39) (xy 42.233368 72.506525) (xy 42.026525 72.713368) (xy 41.86401 72.956589) (xy 41.752068 73.226842) + (xy 41.695 73.51374) (xy 41.695 73.80626) (xy 41.752068 74.093158) (xy 41.86401 74.363411) (xy 42.026525 74.606632) + (xy 42.233368 74.813475) (xy 42.40776 74.93) (xy 42.233368 75.046525) (xy 42.026525 75.253368) (xy 41.86401 75.496589) + (xy 41.752068 75.766842) (xy 41.695 76.05374) (xy 41.695 76.34626) (xy 41.752068 76.633158) (xy 41.86401 76.903411) + (xy 42.026525 77.146632) (xy 42.233368 77.353475) (xy 42.40776 77.47) (xy 42.233368 77.586525) (xy 42.026525 77.793368) + (xy 41.86401 78.036589) (xy 41.752068 78.306842) (xy 41.695 78.59374) (xy 41.695 78.88626) (xy 41.752068 79.173158) + (xy 41.86401 79.443411) (xy 42.026525 79.686632) (xy 42.233368 79.893475) (xy 42.40776 80.01) (xy 42.233368 80.126525) + (xy 42.026525 80.333368) (xy 41.86401 80.576589) (xy 41.752068 80.846842) (xy 41.695 81.13374) (xy 41.695 81.42626) + (xy 41.752068 81.713158) (xy 41.86401 81.983411) (xy 42.026525 82.226632) (xy 42.15838 82.358487) (xy 42.08582 82.380498) + (xy 41.975506 82.439463) (xy 41.878815 82.518815) (xy 41.799463 82.615506) (xy 41.740498 82.72582) (xy 41.704188 82.845518) + (xy 41.691928 82.97) (xy 41.3 82.97) (xy 41.3 48.11374) (xy 41.695 48.11374) (xy 41.695 48.40626) + (xy 41.752068 48.693158) (xy 41.86401 48.963411) (xy 42.026525 49.206632) (xy 42.233368 49.413475) (xy 42.40776 49.53) + (xy 42.233368 49.646525) (xy 42.026525 49.853368) (xy 41.86401 50.096589) (xy 41.752068 50.366842) (xy 41.695 50.65374) + (xy 41.695 50.94626) (xy 41.752068 51.233158) (xy 41.86401 51.503411) (xy 42.026525 51.746632) (xy 42.233368 51.953475) + (xy 42.40776 52.07) (xy 42.233368 52.186525) (xy 42.026525 52.393368) (xy 41.86401 52.636589) (xy 41.752068 52.906842) + (xy 41.695 53.19374) (xy 41.695 53.48626) (xy 41.752068 53.773158) (xy 41.86401 54.043411) (xy 42.026525 54.286632) + (xy 42.233368 54.493475) (xy 42.415534 54.615195) (xy 42.298645 54.684822) (xy 42.082412 54.879731) (xy 41.908359 55.11308) + (xy 41.783175 55.375901) (xy 41.738524 55.52311) (xy 41.859845 55.753) (xy 43.053 55.753) (xy 43.053 55.733) + (xy 43.307 55.733) (xy 43.307 55.753) (xy 44.500155 55.753) (xy 44.621476 55.52311) (xy 44.576825 55.375901) + (xy 44.451641 55.11308) (xy 44.277588 54.879731) (xy 44.061355 54.684822) (xy 43.944466 54.615195) (xy 44.126632 54.493475) + (xy 44.333475 54.286632) (xy 44.49599 54.043411) (xy 44.607932 53.773158) (xy 44.665 53.48626) (xy 44.665 53.19374) + (xy 44.607932 52.906842) (xy 44.49599 52.636589) (xy 44.333475 52.393368) (xy 44.126632 52.186525) (xy 43.95224 52.07) + (xy 44.126632 51.953475) (xy 44.333475 51.746632) (xy 44.49599 51.503411) (xy 44.607932 51.233158) (xy 44.665 50.94626) + (xy 44.665 50.65374) (xy 44.607932 50.366842) (xy 44.49599 50.096589) (xy 44.333475 49.853368) (xy 44.126632 49.646525) + (xy 43.95224 49.53) (xy 44.126632 49.413475) (xy 44.333475 49.206632) (xy 44.49599 48.963411) (xy 44.607932 48.693158) + (xy 44.665 48.40626) (xy 44.665 48.11374) (xy 44.607932 47.826842) (xy 44.49599 47.556589) (xy 44.431452 47.46) + (xy 49.361928 47.46) (xy 49.361928 49.06) (xy 49.374188 49.184482) (xy 49.410498 49.30418) (xy 49.469463 49.414494) + (xy 49.548815 49.511185) (xy 49.645506 49.590537) (xy 49.75582 49.649502) (xy 49.875518 49.685812) (xy 49.883961 49.686643) + (xy 49.685363 49.885241) (xy 49.52832 50.120273) (xy 49.420147 50.381426) (xy 49.365 50.658665) (xy 49.365 50.941335) + (xy 49.420147 51.218574) (xy 49.52832 51.479727) (xy 49.685363 51.714759) (xy 49.885241 51.914637) (xy 50.117759 52.07) + (xy 49.885241 52.225363) (xy 49.685363 52.425241) (xy 49.52832 52.660273) (xy 49.420147 52.921426) (xy 49.365 53.198665) + (xy 49.365 53.481335) (xy 49.420147 53.758574) (xy 49.52832 54.019727) (xy 49.685363 54.254759) (xy 49.885241 54.454637) + (xy 50.120273 54.61168) (xy 50.130865 54.616067) (xy 49.944869 54.727615) (xy 49.736481 54.916586) (xy 49.568963 55.14258) + (xy 49.448754 55.396913) (xy 49.408096 55.530961) (xy 49.530085 55.753) (xy 50.673 55.753) (xy 50.673 55.733) + (xy 50.927 55.733) (xy 50.927 55.753) (xy 52.069915 55.753) (xy 52.191904 55.530961) (xy 52.151246 55.396913) + (xy 52.031037 55.14258) (xy 51.863519 54.916586) (xy 51.655131 54.727615) (xy 51.469135 54.616067) (xy 51.479727 54.61168) + (xy 51.714759 54.454637) (xy 51.914637 54.254759) (xy 52.07168 54.019727) (xy 52.179853 53.758574) (xy 52.235 53.481335) + (xy 52.235 53.198665) (xy 52.179853 52.921426) (xy 52.07168 52.660273) (xy 51.914637 52.425241) (xy 51.714759 52.225363) + (xy 51.482241 52.07) (xy 51.714759 51.914637) (xy 51.914637 51.714759) (xy 52.07168 51.479727) (xy 52.179853 51.218574) + (xy 52.235 50.941335) (xy 52.235 50.658665) (xy 52.179853 50.381426) (xy 52.07168 50.120273) (xy 51.914637 49.885241) + (xy 51.716039 49.686643) (xy 51.724482 49.685812) (xy 51.84418 49.649502) (xy 51.954494 49.590537) (xy 52.051185 49.511185) + (xy 52.130537 49.414494) (xy 52.189502 49.30418) (xy 52.225812 49.184482) (xy 52.238072 49.06) (xy 52.238072 47.46) + (xy 52.225812 47.335518) (xy 52.189502 47.21582) (xy 52.130537 47.105506) (xy 52.051185 47.008815) (xy 51.954494 46.929463) + (xy 51.84418 46.870498) (xy 51.724482 46.834188) (xy 51.6 46.821928) (xy 50 46.821928) (xy 49.875518 46.834188) + (xy 49.75582 46.870498) (xy 49.645506 46.929463) (xy 49.548815 47.008815) (xy 49.469463 47.105506) (xy 49.410498 47.21582) + (xy 49.374188 47.335518) (xy 49.361928 47.46) (xy 44.431452 47.46) (xy 44.333475 47.313368) (xy 44.126632 47.106525) + (xy 43.883411 46.94401) (xy 43.613158 46.832068) (xy 43.32626 46.775) (xy 43.03374 46.775) (xy 42.746842 46.832068) + (xy 42.476589 46.94401) (xy 42.233368 47.106525) (xy 42.026525 47.313368) (xy 41.86401 47.556589) (xy 41.752068 47.826842) + (xy 41.695 48.11374) (xy 41.3 48.11374) (xy 41.3 40.105) (xy 49.261928 40.105) (xy 49.261928 41.175) + (xy 49.274188 41.299482) (xy 49.310498 41.41918) (xy 49.369463 41.529494) (xy 49.448815 41.626185) (xy 49.545506 41.705537) + (xy 49.65582 41.764502) (xy 49.775518 41.800812) (xy 49.9 41.813072) (xy 51.7 41.813072) (xy 51.824482 41.800812) + (xy 51.94418 41.764502) (xy 52.054494 41.705537) (xy 52.151185 41.626185) (xy 52.230537 41.529494) (xy 52.289502 41.41918) + (xy 52.325812 41.299482) (xy 52.338072 41.175) (xy 52.338072 40.105) (xy 52.325812 39.980518) (xy 52.289502 39.86082) + (xy 52.252615 39.79181) (xy 52.2939 39.677383) (xy 52.168244 39.497) (xy 51.8832 39.497) (xy 51.824482 39.479188) + (xy 51.7 39.466928) (xy 49.9 39.466928) (xy 49.775518 39.479188) (xy 49.7168 39.497) (xy 49.431756 39.497) + (xy 49.3061 39.677383) (xy 49.347385 39.79181) (xy 49.310498 39.86082) (xy 49.274188 39.980518) (xy 49.261928 40.105) + (xy 41.3 40.105) (xy 41.3 36.83) (xy 49.259339 36.83) (xy 49.281929 37.05936) (xy 49.348831 37.279906) + (xy 49.447766 37.465) (xy 49.348831 37.650094) (xy 49.281929 37.87064) (xy 49.259339 38.1) (xy 49.281929 38.32936) + (xy 49.348831 38.549906) (xy 49.449154 38.737596) (xy 49.391623 38.825579) (xy 49.3061 39.062617) (xy 49.431756 39.243) + (xy 50.17244 39.243) (xy 50.20564 39.253071) (xy 50.377523 39.27) (xy 51.222477 39.27) (xy 51.39436 39.253071) + (xy 51.42756 39.243) (xy 52.168244 39.243) (xy 52.2939 39.062617) (xy 52.208377 38.825579) (xy 52.150846 38.737596) + (xy 52.251169 38.549906) (xy 52.318071 38.32936) (xy 52.340661 38.1) (xy 52.318071 37.87064) (xy 52.251169 37.650094) + (xy 52.152234 37.465) (xy 52.251169 37.279906) (xy 52.318071 37.05936) (xy 52.340661 36.83) (xy 52.318071 36.60064) + (xy 52.251169 36.380094) (xy 52.142526 36.176838) (xy 51.996318 35.998682) (xy 51.818162 35.852474) (xy 51.614906 35.743831) + (xy 51.39436 35.676929) (xy 51.222477 35.66) (xy 50.377523 35.66) (xy 50.20564 35.676929) (xy 49.985094 35.743831) + (xy 49.781838 35.852474) (xy 49.603682 35.998682) (xy 49.457474 36.176838) (xy 49.348831 36.380094) (xy 49.281929 36.60064) + (xy 49.259339 36.83) (xy 41.3 36.83) (xy 41.3 30.512279) (xy 41.317505 30.33374) (xy 49.315 30.33374) + (xy 49.315 30.62626) (xy 49.372068 30.913158) (xy 49.48401 31.183411) (xy 49.646525 31.426632) (xy 49.853368 31.633475) + (xy 50.096589 31.79599) (xy 50.366842 31.907932) (xy 50.65374 31.965) (xy 50.94626 31.965) (xy 51.233158 31.907932) + (xy 51.503411 31.79599) (xy 51.746632 31.633475) (xy 51.953475 31.426632) (xy 52.075195 31.244466) (xy 52.144822 31.361355) + (xy 52.339731 31.577588) (xy 52.57308 31.751641) (xy 52.835901 31.876825) (xy 52.98311 31.921476) (xy 53.213 31.800155) + (xy 53.213 30.607) (xy 53.193 30.607) (xy 53.193 30.353) (xy 53.213 30.353) (xy 53.213 29.159845) + (xy 52.98311 29.038524) (xy 52.835901 29.083175) (xy 52.57308 29.208359) (xy 52.339731 29.382412) (xy 52.144822 29.598645) + (xy 52.075195 29.715534) (xy 51.953475 29.533368) (xy 51.746632 29.326525) (xy 51.503411 29.16401) (xy 51.233158 29.052068) + (xy 50.94626 28.995) (xy 50.65374 28.995) (xy 50.366842 29.052068) (xy 50.096589 29.16401) (xy 49.853368 29.326525) + (xy 49.646525 29.533368) (xy 49.48401 29.776589) (xy 49.372068 30.046842) (xy 49.315 30.33374) (xy 41.317505 30.33374) + (xy 41.338909 30.115455) (xy 41.44478 29.764792) (xy 41.616744 29.441375) (xy 41.848254 29.157516) (xy 42.130486 28.924035) + (xy 42.452695 28.749817) (xy 42.802614 28.641498) (xy 43.197443 28.6) (xy 57.562729 28.6) + ) + ) + ) + (zone (net 22) (net_name VCC) (layer B.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.2 96.52) (xy 40.64 96.52) (xy 40.64 27.94) (xy 76.2 27.94) + ) + ) + (filled_polygon + (pts + (xy 74.024545 28.638909) (xy 74.375208 28.74478) (xy 74.698625 28.916744) (xy 74.982484 29.148254) (xy 75.215965 29.430486) + (xy 75.390183 29.752695) (xy 75.498502 30.102614) (xy 75.540001 30.497452) (xy 75.54 93.947721) (xy 75.501091 94.344545) + (xy 75.39522 94.695206) (xy 75.223257 95.018623) (xy 74.991748 95.302482) (xy 74.709514 95.535965) (xy 74.387304 95.710184) + (xy 74.037385 95.818502) (xy 73.642557 95.86) (xy 43.212279 95.86) (xy 42.815455 95.821091) (xy 42.464794 95.71522) + (xy 42.141377 95.543257) (xy 41.857518 95.311748) (xy 41.624035 95.029514) (xy 41.449816 94.707304) (xy 41.341498 94.357385) + (xy 41.3 93.962557) (xy 41.3 92.56374) (xy 65.825 92.56374) (xy 65.825 92.85626) (xy 65.882068 93.143158) + (xy 65.99401 93.413411) (xy 66.156525 93.656632) (xy 66.363368 93.863475) (xy 66.606589 94.02599) (xy 66.876842 94.137932) + (xy 67.16374 94.195) (xy 67.45626 94.195) (xy 67.743158 94.137932) (xy 68.013411 94.02599) (xy 68.256632 93.863475) + (xy 68.388487 93.73162) (xy 68.410498 93.80418) (xy 68.469463 93.914494) (xy 68.548815 94.011185) (xy 68.645506 94.090537) + (xy 68.75582 94.149502) (xy 68.875518 94.185812) (xy 69 94.198072) (xy 70.7 94.198072) (xy 70.824482 94.185812) + (xy 70.94418 94.149502) (xy 71.054494 94.090537) (xy 71.151185 94.011185) (xy 71.230537 93.914494) (xy 71.289502 93.80418) + (xy 71.325812 93.684482) (xy 71.338072 93.56) (xy 71.338072 91.86) (xy 71.325812 91.735518) (xy 71.289502 91.61582) + (xy 71.230537 91.505506) (xy 71.151185 91.408815) (xy 71.054494 91.329463) (xy 70.94418 91.270498) (xy 70.824482 91.234188) + (xy 70.7 91.221928) (xy 69 91.221928) (xy 68.875518 91.234188) (xy 68.75582 91.270498) (xy 68.645506 91.329463) + (xy 68.548815 91.408815) (xy 68.469463 91.505506) (xy 68.410498 91.61582) (xy 68.388487 91.68838) (xy 68.256632 91.556525) + (xy 68.013411 91.39401) (xy 67.743158 91.282068) (xy 67.45626 91.225) (xy 67.16374 91.225) (xy 66.876842 91.282068) + (xy 66.606589 91.39401) (xy 66.363368 91.556525) (xy 66.156525 91.763368) (xy 65.99401 92.006589) (xy 65.882068 92.276842) + (xy 65.825 92.56374) (xy 41.3 92.56374) (xy 41.3 82.97) (xy 41.691928 82.97) (xy 41.691928 84.67) + (xy 41.704188 84.794482) (xy 41.740498 84.91418) (xy 41.799463 85.024494) (xy 41.878815 85.121185) (xy 41.975506 85.200537) + (xy 42.08582 85.259502) (xy 42.205518 85.295812) (xy 42.33 85.308072) (xy 44.03 85.308072) (xy 44.154482 85.295812) + (xy 44.27418 85.259502) (xy 44.384494 85.200537) (xy 44.481185 85.121185) (xy 44.560537 85.024494) (xy 44.619502 84.91418) + (xy 44.655812 84.794482) (xy 44.668072 84.67) (xy 44.668072 84.58) (xy 49.581957 84.58) (xy 49.685363 84.734759) + (xy 49.885241 84.934637) (xy 50.120273 85.09168) (xy 50.381426 85.199853) (xy 50.658665 85.255) (xy 50.941335 85.255) + (xy 51.218574 85.199853) (xy 51.479727 85.09168) (xy 51.714759 84.934637) (xy 51.914637 84.734759) (xy 52.07168 84.499727) + (xy 52.179853 84.238574) (xy 52.235 83.961335) (xy 52.235 83.678665) (xy 52.179853 83.401426) (xy 52.07168 83.140273) + (xy 51.914637 82.905241) (xy 51.714759 82.705363) (xy 51.482241 82.55) (xy 51.714759 82.394637) (xy 51.914637 82.194759) + (xy 52.07168 81.959727) (xy 52.179853 81.698574) (xy 52.235 81.421335) (xy 52.235 81.138665) (xy 52.179853 80.861426) + (xy 52.07168 80.600273) (xy 51.914637 80.365241) (xy 51.714759 80.165363) (xy 51.482241 80.01) (xy 51.714759 79.854637) + (xy 51.914637 79.654759) (xy 52.07168 79.419727) (xy 52.179853 79.158574) (xy 52.235 78.881335) (xy 52.235 78.598665) + (xy 52.179853 78.321426) (xy 52.07168 78.060273) (xy 51.914637 77.825241) (xy 51.714759 77.625363) (xy 51.482241 77.47) + (xy 51.714759 77.314637) (xy 51.914637 77.114759) (xy 52.07168 76.879727) (xy 52.179853 76.618574) (xy 52.235 76.341335) + (xy 52.235 76.058665) (xy 52.179853 75.781426) (xy 52.07168 75.520273) (xy 51.914637 75.285241) (xy 51.714759 75.085363) + (xy 51.482241 74.93) (xy 51.714759 74.774637) (xy 51.914637 74.574759) (xy 52.07168 74.339727) (xy 52.179853 74.078574) + (xy 52.235 73.801335) (xy 52.235 73.518665) (xy 52.179853 73.241426) (xy 52.07168 72.980273) (xy 51.914637 72.745241) + (xy 51.714759 72.545363) (xy 51.482241 72.39) (xy 51.714759 72.234637) (xy 51.914637 72.034759) (xy 52.07168 71.799727) + (xy 52.179853 71.538574) (xy 52.235 71.261335) (xy 52.235 70.978665) (xy 52.198688 70.796113) (xy 55.825013 67.169789) + (xy 55.854011 67.145991) (xy 55.948984 67.030266) (xy 56.019556 66.898237) (xy 56.063013 66.754976) (xy 56.07401 66.643323) + (xy 56.07401 66.643314) (xy 56.077686 66.605991) (xy 56.07401 66.568668) (xy 56.07401 58.278665) (xy 64.605 58.278665) + (xy 64.605 58.561335) (xy 64.660147 58.838574) (xy 64.76832 59.099727) (xy 64.925363 59.334759) (xy 65.125241 59.534637) + (xy 65.357759 59.69) (xy 65.125241 59.845363) (xy 64.925363 60.045241) (xy 64.76832 60.280273) (xy 64.660147 60.541426) + (xy 64.605 60.818665) (xy 64.605 61.101335) (xy 64.660147 61.378574) (xy 64.76832 61.639727) (xy 64.925363 61.874759) + (xy 65.125241 62.074637) (xy 65.357759 62.23) (xy 65.125241 62.385363) (xy 64.925363 62.585241) (xy 64.76832 62.820273) + (xy 64.660147 63.081426) (xy 64.605 63.358665) (xy 64.605 63.641335) (xy 64.660147 63.918574) (xy 64.76832 64.179727) + (xy 64.925363 64.414759) (xy 65.125241 64.614637) (xy 65.357759 64.77) (xy 65.125241 64.925363) (xy 64.925363 65.125241) + (xy 64.76832 65.360273) (xy 64.660147 65.621426) (xy 64.605 65.898665) (xy 64.605 66.181335) (xy 64.660147 66.458574) + (xy 64.76832 66.719727) (xy 64.925363 66.954759) (xy 65.125241 67.154637) (xy 65.357759 67.31) (xy 65.125241 67.465363) + (xy 64.925363 67.665241) (xy 64.76832 67.900273) (xy 64.660147 68.161426) (xy 64.605 68.438665) (xy 64.605 68.721335) + (xy 64.660147 68.998574) (xy 64.76832 69.259727) (xy 64.925363 69.494759) (xy 65.125241 69.694637) (xy 65.357759 69.85) + (xy 65.125241 70.005363) (xy 64.925363 70.205241) (xy 64.76832 70.440273) (xy 64.660147 70.701426) (xy 64.605 70.978665) + (xy 64.605 71.261335) (xy 64.660147 71.538574) (xy 64.76832 71.799727) (xy 64.925363 72.034759) (xy 65.125241 72.234637) + (xy 65.357759 72.39) (xy 65.125241 72.545363) (xy 64.925363 72.745241) (xy 64.76832 72.980273) (xy 64.660147 73.241426) + (xy 64.605 73.518665) (xy 64.605 73.801335) (xy 64.660147 74.078574) (xy 64.76832 74.339727) (xy 64.925363 74.574759) + (xy 65.125241 74.774637) (xy 65.357759 74.93) (xy 65.125241 75.085363) (xy 64.925363 75.285241) (xy 64.76832 75.520273) + (xy 64.660147 75.781426) (xy 64.605 76.058665) (xy 64.605 76.341335) (xy 64.660147 76.618574) (xy 64.76832 76.879727) + (xy 64.925363 77.114759) (xy 65.125241 77.314637) (xy 65.357759 77.47) (xy 65.125241 77.625363) (xy 64.925363 77.825241) + (xy 64.76832 78.060273) (xy 64.660147 78.321426) (xy 64.605 78.598665) (xy 64.605 78.881335) (xy 64.660147 79.158574) + (xy 64.76832 79.419727) (xy 64.925363 79.654759) (xy 65.125241 79.854637) (xy 65.357759 80.01) (xy 65.125241 80.165363) + (xy 64.925363 80.365241) (xy 64.76832 80.600273) (xy 64.660147 80.861426) (xy 64.605 81.138665) (xy 64.605 81.421335) + (xy 64.660147 81.698574) (xy 64.76832 81.959727) (xy 64.925363 82.194759) (xy 65.125241 82.394637) (xy 65.357759 82.55) + (xy 65.125241 82.705363) (xy 64.925363 82.905241) (xy 64.76832 83.140273) (xy 64.660147 83.401426) (xy 64.605 83.678665) + (xy 64.605 83.961335) (xy 64.660147 84.238574) (xy 64.76832 84.499727) (xy 64.925363 84.734759) (xy 65.125241 84.934637) + (xy 65.360273 85.09168) (xy 65.621426 85.199853) (xy 65.898665 85.255) (xy 66.181335 85.255) (xy 66.458574 85.199853) + (xy 66.719727 85.09168) (xy 66.954759 84.934637) (xy 67.154637 84.734759) (xy 67.258043 84.58) (xy 72.171928 84.58) + (xy 72.171928 84.67) (xy 72.184188 84.794482) (xy 72.220498 84.91418) (xy 72.279463 85.024494) (xy 72.358815 85.121185) + (xy 72.455506 85.200537) (xy 72.56582 85.259502) (xy 72.685518 85.295812) (xy 72.81 85.308072) (xy 74.51 85.308072) + (xy 74.634482 85.295812) (xy 74.75418 85.259502) (xy 74.864494 85.200537) (xy 74.961185 85.121185) (xy 75.040537 85.024494) + (xy 75.099502 84.91418) (xy 75.135812 84.794482) (xy 75.148072 84.67) (xy 75.148072 82.97) (xy 75.135812 82.845518) + (xy 75.099502 82.72582) (xy 75.040537 82.615506) (xy 74.961185 82.518815) (xy 74.864494 82.439463) (xy 74.75418 82.380498) + (xy 74.68162 82.358487) (xy 74.813475 82.226632) (xy 74.97599 81.983411) (xy 75.087932 81.713158) (xy 75.145 81.42626) + (xy 75.145 81.13374) (xy 75.087932 80.846842) (xy 74.97599 80.576589) (xy 74.813475 80.333368) (xy 74.606632 80.126525) + (xy 74.43224 80.01) (xy 74.606632 79.893475) (xy 74.813475 79.686632) (xy 74.97599 79.443411) (xy 75.087932 79.173158) + (xy 75.145 78.88626) (xy 75.145 78.59374) (xy 75.087932 78.306842) (xy 74.97599 78.036589) (xy 74.813475 77.793368) + (xy 74.606632 77.586525) (xy 74.43224 77.47) (xy 74.606632 77.353475) (xy 74.813475 77.146632) (xy 74.97599 76.903411) + (xy 75.087932 76.633158) (xy 75.145 76.34626) (xy 75.145 76.05374) (xy 75.087932 75.766842) (xy 74.97599 75.496589) + (xy 74.813475 75.253368) (xy 74.606632 75.046525) (xy 74.43224 74.93) (xy 74.606632 74.813475) (xy 74.813475 74.606632) + (xy 74.97599 74.363411) (xy 75.087932 74.093158) (xy 75.145 73.80626) (xy 75.145 73.51374) (xy 75.087932 73.226842) + (xy 74.97599 72.956589) (xy 74.813475 72.713368) (xy 74.606632 72.506525) (xy 74.43224 72.39) (xy 74.606632 72.273475) + (xy 74.813475 72.066632) (xy 74.97599 71.823411) (xy 75.087932 71.553158) (xy 75.145 71.26626) (xy 75.145 70.97374) + (xy 75.087932 70.686842) (xy 74.97599 70.416589) (xy 74.813475 70.173368) (xy 74.606632 69.966525) (xy 74.43224 69.85) + (xy 74.606632 69.733475) (xy 74.813475 69.526632) (xy 74.97599 69.283411) (xy 75.087932 69.013158) (xy 75.145 68.72626) + (xy 75.145 68.43374) (xy 75.087932 68.146842) (xy 74.97599 67.876589) (xy 74.813475 67.633368) (xy 74.606632 67.426525) + (xy 74.43224 67.31) (xy 74.606632 67.193475) (xy 74.813475 66.986632) (xy 74.97599 66.743411) (xy 75.087932 66.473158) + (xy 75.145 66.18626) (xy 75.145 65.89374) (xy 75.087932 65.606842) (xy 74.97599 65.336589) (xy 74.813475 65.093368) + (xy 74.606632 64.886525) (xy 74.43224 64.77) (xy 74.606632 64.653475) (xy 74.813475 64.446632) (xy 74.97599 64.203411) + (xy 75.087932 63.933158) (xy 75.145 63.64626) (xy 75.145 63.35374) (xy 75.087932 63.066842) (xy 74.97599 62.796589) + (xy 74.813475 62.553368) (xy 74.606632 62.346525) (xy 74.43224 62.23) (xy 74.606632 62.113475) (xy 74.813475 61.906632) + (xy 74.97599 61.663411) (xy 75.087932 61.393158) (xy 75.145 61.10626) (xy 75.145 60.81374) (xy 75.087932 60.526842) + (xy 74.97599 60.256589) (xy 74.813475 60.013368) (xy 74.606632 59.806525) (xy 74.43224 59.69) (xy 74.606632 59.573475) + (xy 74.813475 59.366632) (xy 74.97599 59.123411) (xy 75.087932 58.853158) (xy 75.145 58.56626) (xy 75.145 58.27374) + (xy 75.087932 57.986842) (xy 74.97599 57.716589) (xy 74.813475 57.473368) (xy 74.606632 57.266525) (xy 74.424466 57.144805) + (xy 74.541355 57.075178) (xy 74.757588 56.880269) (xy 74.931641 56.64692) (xy 75.056825 56.384099) (xy 75.101476 56.23689) + (xy 74.980155 56.007) (xy 73.787 56.007) (xy 73.787 56.027) (xy 73.533 56.027) (xy 73.533 56.007) + (xy 72.339845 56.007) (xy 72.218524 56.23689) (xy 72.263175 56.384099) (xy 72.388359 56.64692) (xy 72.562412 56.880269) + (xy 72.778645 57.075178) (xy 72.895534 57.144805) (xy 72.713368 57.266525) (xy 72.506525 57.473368) (xy 72.381822 57.66) + (xy 67.258043 57.66) (xy 67.154637 57.505241) (xy 66.954759 57.305363) (xy 66.719727 57.14832) (xy 66.709135 57.143933) + (xy 66.895131 57.032385) (xy 67.103519 56.843414) (xy 67.271037 56.61742) (xy 67.391246 56.363087) (xy 67.431904 56.229039) + (xy 67.309915 56.007) (xy 66.167 56.007) (xy 66.167 56.027) (xy 65.913 56.027) (xy 65.913 56.007) + (xy 64.770085 56.007) (xy 64.648096 56.229039) (xy 64.688754 56.363087) (xy 64.808963 56.61742) (xy 64.976481 56.843414) + (xy 65.184869 57.032385) (xy 65.370865 57.143933) (xy 65.360273 57.14832) (xy 65.125241 57.305363) (xy 64.925363 57.505241) + (xy 64.76832 57.740273) (xy 64.660147 58.001426) (xy 64.605 58.278665) (xy 56.07401 58.278665) (xy 56.07401 49.269201) + (xy 57.224546 48.118665) (xy 64.605 48.118665) (xy 64.605 48.401335) (xy 64.660147 48.678574) (xy 64.76832 48.939727) + (xy 64.925363 49.174759) (xy 65.125241 49.374637) (xy 65.357759 49.53) (xy 65.125241 49.685363) (xy 64.925363 49.885241) + (xy 64.76832 50.120273) (xy 64.660147 50.381426) (xy 64.605 50.658665) (xy 64.605 50.941335) (xy 64.660147 51.218574) + (xy 64.76832 51.479727) (xy 64.925363 51.714759) (xy 65.125241 51.914637) (xy 65.357759 52.07) (xy 65.125241 52.225363) + (xy 64.925363 52.425241) (xy 64.76832 52.660273) (xy 64.660147 52.921426) (xy 64.605 53.198665) (xy 64.605 53.481335) + (xy 64.660147 53.758574) (xy 64.76832 54.019727) (xy 64.925363 54.254759) (xy 65.125241 54.454637) (xy 65.360273 54.61168) + (xy 65.370865 54.616067) (xy 65.184869 54.727615) (xy 64.976481 54.916586) (xy 64.808963 55.14258) (xy 64.688754 55.396913) + (xy 64.648096 55.530961) (xy 64.770085 55.753) (xy 65.913 55.753) (xy 65.913 55.733) (xy 66.167 55.733) + (xy 66.167 55.753) (xy 67.309915 55.753) (xy 67.431904 55.530961) (xy 67.391246 55.396913) (xy 67.271037 55.14258) + (xy 67.103519 54.916586) (xy 66.895131 54.727615) (xy 66.709135 54.616067) (xy 66.719727 54.61168) (xy 66.954759 54.454637) + (xy 67.154637 54.254759) (xy 67.258043 54.1) (xy 72.381822 54.1) (xy 72.506525 54.286632) (xy 72.713368 54.493475) + (xy 72.895534 54.615195) (xy 72.778645 54.684822) (xy 72.562412 54.879731) (xy 72.388359 55.11308) (xy 72.263175 55.375901) + (xy 72.218524 55.52311) (xy 72.339845 55.753) (xy 73.533 55.753) (xy 73.533 55.733) (xy 73.787 55.733) + (xy 73.787 55.753) (xy 74.980155 55.753) (xy 75.101476 55.52311) (xy 75.056825 55.375901) (xy 74.931641 55.11308) + (xy 74.757588 54.879731) (xy 74.541355 54.684822) (xy 74.424466 54.615195) (xy 74.606632 54.493475) (xy 74.813475 54.286632) + (xy 74.97599 54.043411) (xy 75.087932 53.773158) (xy 75.145 53.48626) (xy 75.145 53.19374) (xy 75.087932 52.906842) + (xy 74.97599 52.636589) (xy 74.813475 52.393368) (xy 74.606632 52.186525) (xy 74.43224 52.07) (xy 74.606632 51.953475) + (xy 74.813475 51.746632) (xy 74.97599 51.503411) (xy 75.087932 51.233158) (xy 75.145 50.94626) (xy 75.145 50.65374) + (xy 75.087932 50.366842) (xy 74.97599 50.096589) (xy 74.813475 49.853368) (xy 74.606632 49.646525) (xy 74.43224 49.53) + (xy 74.606632 49.413475) (xy 74.813475 49.206632) (xy 74.97599 48.963411) (xy 75.087932 48.693158) (xy 75.145 48.40626) + (xy 75.145 48.11374) (xy 75.087932 47.826842) (xy 74.97599 47.556589) (xy 74.813475 47.313368) (xy 74.606632 47.106525) + (xy 74.363411 46.94401) (xy 74.093158 46.832068) (xy 73.80626 46.775) (xy 73.51374 46.775) (xy 73.226842 46.832068) + (xy 72.956589 46.94401) (xy 72.713368 47.106525) (xy 72.506525 47.313368) (xy 72.41523 47.45) (xy 67.224635 47.45) + (xy 67.154637 47.345241) (xy 66.954759 47.145363) (xy 66.719727 46.98832) (xy 66.458574 46.880147) (xy 66.181335 46.825) + (xy 65.898665 46.825) (xy 65.621426 46.880147) (xy 65.360273 46.98832) (xy 65.125241 47.145363) (xy 64.925363 47.345241) + (xy 64.76832 47.580273) (xy 64.660147 47.841426) (xy 64.605 48.118665) (xy 57.224546 48.118665) (xy 58.558201 46.785011) + (xy 65.944669 46.785011) (xy 65.981991 46.788687) (xy 66.019313 46.785011) (xy 66.019324 46.785011) (xy 66.130977 46.774014) + (xy 66.274238 46.730557) (xy 66.406267 46.659985) (xy 66.521992 46.565012) (xy 66.545795 46.536008) (xy 71.631003 41.450801) + (xy 71.660001 41.427003) (xy 71.726851 41.345546) (xy 71.754974 41.311279) (xy 71.825546 41.179249) (xy 71.834114 41.151003) + (xy 71.869003 41.035988) (xy 71.88 40.924335) (xy 71.88 40.924325) (xy 71.883676 40.887003) (xy 71.88 40.84968) + (xy 71.88 38.137333) (xy 71.883677 38.1) (xy 71.869003 37.951014) (xy 71.825546 37.807753) (xy 71.754974 37.675724) + (xy 71.660001 37.559999) (xy 71.544276 37.465026) (xy 71.412247 37.394454) (xy 71.268986 37.350997) (xy 71.157333 37.34) + (xy 71.12 37.336323) (xy 71.082667 37.34) (xy 70.947775 37.34) (xy 70.886962 37.248987) (xy 70.701013 37.063038) + (xy 70.482359 36.916939) (xy 70.239405 36.816304) (xy 69.981486 36.765) (xy 69.718514 36.765) (xy 69.460595 36.816304) + (xy 69.217641 36.916939) (xy 68.998987 37.063038) (xy 68.813038 37.248987) (xy 68.666939 37.467641) (xy 68.566304 37.710595) + (xy 68.515 37.968514) (xy 68.515 38.231486) (xy 68.566304 38.489405) (xy 68.666939 38.732359) (xy 68.813038 38.951013) + (xy 68.998987 39.136962) (xy 69.217641 39.283061) (xy 69.42753 39.37) (xy 69.217641 39.456939) (xy 68.998987 39.603038) + (xy 68.813038 39.788987) (xy 68.666939 40.007641) (xy 68.566304 40.250595) (xy 68.515 40.508514) (xy 68.515 40.771486) + (xy 68.536355 40.878843) (xy 64.600198 44.815001) (xy 58.094321 44.815001) (xy 58.056998 44.811325) (xy 58.019675 44.815001) + (xy 58.019666 44.815001) (xy 57.908013 44.825998) (xy 57.764752 44.869455) (xy 57.632723 44.940027) (xy 57.632721 44.940028) + (xy 57.632722 44.940028) (xy 57.545995 45.011202) (xy 57.545991 45.011206) (xy 57.516998 45.035) (xy 57.493204 45.063993) + (xy 54.353003 48.204196) (xy 54.323999 48.227999) (xy 54.297737 48.26) (xy 54.229026 48.343724) (xy 54.191249 48.414399) + (xy 54.158454 48.475754) (xy 54.114997 48.619015) (xy 54.104 48.730668) (xy 54.104 48.730678) (xy 54.100324 48.768) + (xy 54.104 48.805322) (xy 54.104001 59.121197) (xy 52.235 60.990199) (xy 52.235 60.818665) (xy 52.179853 60.541426) + (xy 52.07168 60.280273) (xy 51.914637 60.045241) (xy 51.714759 59.845363) (xy 51.482241 59.69) (xy 51.714759 59.534637) + (xy 51.914637 59.334759) (xy 52.07168 59.099727) (xy 52.179853 58.838574) (xy 52.235 58.561335) (xy 52.235 58.278665) + (xy 52.179853 58.001426) (xy 52.07168 57.740273) (xy 51.914637 57.505241) (xy 51.714759 57.305363) (xy 51.482241 57.15) + (xy 51.714759 56.994637) (xy 51.914637 56.794759) (xy 52.07168 56.559727) (xy 52.179853 56.298574) (xy 52.235 56.021335) + (xy 52.235 55.738665) (xy 52.179853 55.461426) (xy 52.07168 55.200273) (xy 51.914637 54.965241) (xy 51.714759 54.765363) + (xy 51.482241 54.61) (xy 51.714759 54.454637) (xy 51.914637 54.254759) (xy 52.07168 54.019727) (xy 52.179853 53.758574) + (xy 52.235 53.481335) (xy 52.235 53.198665) (xy 52.179853 52.921426) (xy 52.07168 52.660273) (xy 51.914637 52.425241) + (xy 51.714759 52.225363) (xy 51.482241 52.07) (xy 51.714759 51.914637) (xy 51.914637 51.714759) (xy 52.07168 51.479727) + (xy 52.179853 51.218574) (xy 52.235 50.941335) (xy 52.235 50.658665) (xy 52.179853 50.381426) (xy 52.07168 50.120273) + (xy 51.914637 49.885241) (xy 51.716039 49.686643) (xy 51.724482 49.685812) (xy 51.84418 49.649502) (xy 51.954494 49.590537) + (xy 52.051185 49.511185) (xy 52.130537 49.414494) (xy 52.189502 49.30418) (xy 52.225812 49.184482) (xy 52.238072 49.06) + (xy 52.238072 47.46) (xy 52.225812 47.335518) (xy 52.189502 47.21582) (xy 52.130537 47.105506) (xy 52.051185 47.008815) + (xy 51.954494 46.929463) (xy 51.84418 46.870498) (xy 51.724482 46.834188) (xy 51.6 46.821928) (xy 50 46.821928) + (xy 49.875518 46.834188) (xy 49.75582 46.870498) (xy 49.645506 46.929463) (xy 49.548815 47.008815) (xy 49.469463 47.105506) + (xy 49.410498 47.21582) (xy 49.374188 47.335518) (xy 49.361928 47.46) (xy 49.361928 47.5) (xy 44.458178 47.5) + (xy 44.333475 47.313368) (xy 44.126632 47.106525) (xy 43.883411 46.94401) (xy 43.613158 46.832068) (xy 43.32626 46.775) + (xy 43.03374 46.775) (xy 42.746842 46.832068) (xy 42.476589 46.94401) (xy 42.233368 47.106525) (xy 42.026525 47.313368) + (xy 41.86401 47.556589) (xy 41.752068 47.826842) (xy 41.695 48.11374) (xy 41.695 48.40626) (xy 41.752068 48.693158) + (xy 41.86401 48.963411) (xy 42.026525 49.206632) (xy 42.233368 49.413475) (xy 42.40776 49.53) (xy 42.233368 49.646525) + (xy 42.026525 49.853368) (xy 41.86401 50.096589) (xy 41.752068 50.366842) (xy 41.695 50.65374) (xy 41.695 50.94626) + (xy 41.752068 51.233158) (xy 41.86401 51.503411) (xy 42.026525 51.746632) (xy 42.233368 51.953475) (xy 42.40776 52.07) + (xy 42.233368 52.186525) (xy 42.026525 52.393368) (xy 41.86401 52.636589) (xy 41.752068 52.906842) (xy 41.695 53.19374) + (xy 41.695 53.48626) (xy 41.752068 53.773158) (xy 41.86401 54.043411) (xy 42.026525 54.286632) (xy 42.233368 54.493475) + (xy 42.40776 54.61) (xy 42.233368 54.726525) (xy 42.026525 54.933368) (xy 41.86401 55.176589) (xy 41.752068 55.446842) + (xy 41.695 55.73374) (xy 41.695 56.02626) (xy 41.752068 56.313158) (xy 41.86401 56.583411) (xy 42.026525 56.826632) + (xy 42.233368 57.033475) (xy 42.40776 57.15) (xy 42.233368 57.266525) (xy 42.026525 57.473368) (xy 41.86401 57.716589) + (xy 41.752068 57.986842) (xy 41.695 58.27374) (xy 41.695 58.56626) (xy 41.752068 58.853158) (xy 41.86401 59.123411) + (xy 42.026525 59.366632) (xy 42.233368 59.573475) (xy 42.40776 59.69) (xy 42.233368 59.806525) (xy 42.026525 60.013368) + (xy 41.86401 60.256589) (xy 41.752068 60.526842) (xy 41.695 60.81374) (xy 41.695 61.10626) (xy 41.752068 61.393158) + (xy 41.86401 61.663411) (xy 42.026525 61.906632) (xy 42.233368 62.113475) (xy 42.40776 62.23) (xy 42.233368 62.346525) + (xy 42.026525 62.553368) (xy 41.86401 62.796589) (xy 41.752068 63.066842) (xy 41.695 63.35374) (xy 41.695 63.64626) + (xy 41.752068 63.933158) (xy 41.86401 64.203411) (xy 42.026525 64.446632) (xy 42.233368 64.653475) (xy 42.40776 64.77) + (xy 42.233368 64.886525) (xy 42.026525 65.093368) (xy 41.86401 65.336589) (xy 41.752068 65.606842) (xy 41.695 65.89374) + (xy 41.695 66.18626) (xy 41.752068 66.473158) (xy 41.86401 66.743411) (xy 42.026525 66.986632) (xy 42.233368 67.193475) + (xy 42.40776 67.31) (xy 42.233368 67.426525) (xy 42.026525 67.633368) (xy 41.86401 67.876589) (xy 41.752068 68.146842) + (xy 41.695 68.43374) (xy 41.695 68.72626) (xy 41.752068 69.013158) (xy 41.86401 69.283411) (xy 42.026525 69.526632) + (xy 42.233368 69.733475) (xy 42.40776 69.85) (xy 42.233368 69.966525) (xy 42.026525 70.173368) (xy 41.86401 70.416589) + (xy 41.752068 70.686842) (xy 41.695 70.97374) (xy 41.695 71.26626) (xy 41.752068 71.553158) (xy 41.86401 71.823411) + (xy 42.026525 72.066632) (xy 42.233368 72.273475) (xy 42.40776 72.39) (xy 42.233368 72.506525) (xy 42.026525 72.713368) + (xy 41.86401 72.956589) (xy 41.752068 73.226842) (xy 41.695 73.51374) (xy 41.695 73.80626) (xy 41.752068 74.093158) + (xy 41.86401 74.363411) (xy 42.026525 74.606632) (xy 42.233368 74.813475) (xy 42.40776 74.93) (xy 42.233368 75.046525) + (xy 42.026525 75.253368) (xy 41.86401 75.496589) (xy 41.752068 75.766842) (xy 41.695 76.05374) (xy 41.695 76.34626) + (xy 41.752068 76.633158) (xy 41.86401 76.903411) (xy 42.026525 77.146632) (xy 42.233368 77.353475) (xy 42.40776 77.47) + (xy 42.233368 77.586525) (xy 42.026525 77.793368) (xy 41.86401 78.036589) (xy 41.752068 78.306842) (xy 41.695 78.59374) + (xy 41.695 78.88626) (xy 41.752068 79.173158) (xy 41.86401 79.443411) (xy 42.026525 79.686632) (xy 42.233368 79.893475) + (xy 42.40776 80.01) (xy 42.233368 80.126525) (xy 42.026525 80.333368) (xy 41.86401 80.576589) (xy 41.752068 80.846842) + (xy 41.695 81.13374) (xy 41.695 81.42626) (xy 41.752068 81.713158) (xy 41.86401 81.983411) (xy 42.026525 82.226632) + (xy 42.15838 82.358487) (xy 42.08582 82.380498) (xy 41.975506 82.439463) (xy 41.878815 82.518815) (xy 41.799463 82.615506) + (xy 41.740498 82.72582) (xy 41.704188 82.845518) (xy 41.691928 82.97) (xy 41.3 82.97) (xy 41.3 36.83) + (xy 49.259339 36.83) (xy 49.281929 37.05936) (xy 49.348831 37.279906) (xy 49.447766 37.465) (xy 49.348831 37.650094) + (xy 49.281929 37.87064) (xy 49.259339 38.1) (xy 49.281929 38.32936) (xy 49.348831 38.549906) (xy 49.447766 38.735) + (xy 49.348831 38.920094) (xy 49.281929 39.14064) (xy 49.259339 39.37) (xy 49.281929 39.59936) (xy 49.34287 39.800257) + (xy 49.310498 39.86082) (xy 49.274188 39.980518) (xy 49.261928 40.105) (xy 49.261928 41.175) (xy 49.274188 41.299482) + (xy 49.310498 41.41918) (xy 49.369463 41.529494) (xy 49.448815 41.626185) (xy 49.545506 41.705537) (xy 49.65582 41.764502) + (xy 49.775518 41.800812) (xy 49.9 41.813072) (xy 51.7 41.813072) (xy 51.824482 41.800812) (xy 51.94418 41.764502) + (xy 52.054494 41.705537) (xy 52.151185 41.626185) (xy 52.230537 41.529494) (xy 52.289502 41.41918) (xy 52.29532 41.4) + (xy 61.132225 41.4) (xy 61.193038 41.491013) (xy 61.378987 41.676962) (xy 61.597641 41.823061) (xy 61.840595 41.923696) + (xy 62.098514 41.975) (xy 62.361486 41.975) (xy 62.619405 41.923696) (xy 62.862359 41.823061) (xy 63.081013 41.676962) + (xy 63.266962 41.491013) (xy 63.413061 41.272359) (xy 63.513696 41.029405) (xy 63.565 40.771486) (xy 63.565 40.508514) + (xy 63.513696 40.250595) (xy 63.413061 40.007641) (xy 63.266962 39.788987) (xy 63.081013 39.603038) (xy 62.862359 39.456939) + (xy 62.65247 39.37) (xy 62.862359 39.283061) (xy 63.081013 39.136962) (xy 63.266962 38.951013) (xy 63.413061 38.732359) + (xy 63.513696 38.489405) (xy 63.565 38.231486) (xy 63.565 37.968514) (xy 63.513696 37.710595) (xy 63.413061 37.467641) + (xy 63.266962 37.248987) (xy 63.081013 37.063038) (xy 62.862359 36.916939) (xy 62.619405 36.816304) (xy 62.361486 36.765) + (xy 62.098514 36.765) (xy 61.840595 36.816304) (xy 61.597641 36.916939) (xy 61.378987 37.063038) (xy 61.193038 37.248987) + (xy 61.132225 37.34) (xy 55.514013 37.34) (xy 55.527205 37.320256) (xy 55.605226 37.131898) (xy 55.645 36.931939) + (xy 55.645 36.869801) (xy 59.369802 33.145) (xy 72.352667 33.145) (xy 72.39 33.148677) (xy 72.538986 33.134003) + (xy 72.682247 33.090546) (xy 72.814276 33.019974) (xy 72.930001 32.925001) (xy 73.024974 32.809276) (xy 73.095546 32.677247) + (xy 73.139003 32.533986) (xy 73.15 32.422333) (xy 73.153677 32.385) (xy 73.15 32.347667) (xy 73.15 31.183711) + (xy 73.193937 31.139774) (xy 73.307205 30.970256) (xy 73.385226 30.781898) (xy 73.425 30.581939) (xy 73.425 30.378061) + (xy 73.385226 30.178102) (xy 73.307205 29.989744) (xy 73.193937 29.820226) (xy 73.049774 29.676063) (xy 72.880256 29.562795) + (xy 72.691898 29.484774) (xy 72.491939 29.445) (xy 72.288061 29.445) (xy 72.088102 29.484774) (xy 71.899744 29.562795) + (xy 71.730226 29.676063) (xy 71.586063 29.820226) (xy 71.472795 29.989744) (xy 71.394774 30.178102) (xy 71.355 30.378061) + (xy 71.355 30.581939) (xy 71.394774 30.781898) (xy 71.472795 30.970256) (xy 71.586063 31.139774) (xy 71.63 31.183711) + (xy 71.630001 31.625) (xy 67.452338 31.625) (xy 67.479502 31.57418) (xy 67.515812 31.454482) (xy 67.528072 31.33) + (xy 67.528072 29.63) (xy 67.515812 29.505518) (xy 67.479502 29.38582) (xy 67.420537 29.275506) (xy 67.341185 29.178815) + (xy 67.244494 29.099463) (xy 67.13418 29.040498) (xy 67.014482 29.004188) (xy 66.89 28.991928) (xy 65.19 28.991928) + (xy 65.065518 29.004188) (xy 64.94582 29.040498) (xy 64.835506 29.099463) (xy 64.738815 29.178815) (xy 64.659463 29.275506) + (xy 64.600498 29.38582) (xy 64.578487 29.45838) (xy 64.446632 29.326525) (xy 64.203411 29.16401) (xy 63.933158 29.052068) + (xy 63.64626 28.995) (xy 63.35374 28.995) (xy 63.066842 29.052068) (xy 62.796589 29.16401) (xy 62.553368 29.326525) + (xy 62.346525 29.533368) (xy 62.23 29.70776) (xy 62.113475 29.533368) (xy 61.906632 29.326525) (xy 61.663411 29.16401) + (xy 61.393158 29.052068) (xy 61.10626 28.995) (xy 60.81374 28.995) (xy 60.526842 29.052068) (xy 60.256589 29.16401) + (xy 60.013368 29.326525) (xy 59.806525 29.533368) (xy 59.69 29.70776) (xy 59.573475 29.533368) (xy 59.366632 29.326525) + (xy 59.123411 29.16401) (xy 58.853158 29.052068) (xy 58.56626 28.995) (xy 58.27374 28.995) (xy 57.986842 29.052068) + (xy 57.716589 29.16401) (xy 57.473368 29.326525) (xy 57.266525 29.533368) (xy 57.15 29.70776) (xy 57.033475 29.533368) + (xy 56.826632 29.326525) (xy 56.583411 29.16401) (xy 56.313158 29.052068) (xy 56.02626 28.995) (xy 55.73374 28.995) + (xy 55.446842 29.052068) (xy 55.176589 29.16401) (xy 54.933368 29.326525) (xy 54.726525 29.533368) (xy 54.61 29.70776) + (xy 54.493475 29.533368) (xy 54.286632 29.326525) (xy 54.043411 29.16401) (xy 53.773158 29.052068) (xy 53.48626 28.995) + (xy 53.19374 28.995) (xy 52.906842 29.052068) (xy 52.636589 29.16401) (xy 52.393368 29.326525) (xy 52.186525 29.533368) + (xy 52.064805 29.715534) (xy 51.995178 29.598645) (xy 51.800269 29.382412) (xy 51.56692 29.208359) (xy 51.304099 29.083175) + (xy 51.15689 29.038524) (xy 50.927 29.159845) (xy 50.927 30.353) (xy 50.947 30.353) (xy 50.947 30.607) + (xy 50.927 30.607) (xy 50.927 31.800155) (xy 51.15689 31.921476) (xy 51.304099 31.876825) (xy 51.56692 31.751641) + (xy 51.800269 31.577588) (xy 51.995178 31.361355) (xy 52.064805 31.244466) (xy 52.186525 31.426632) (xy 52.393368 31.633475) + (xy 52.636589 31.79599) (xy 52.906842 31.907932) (xy 53.19374 31.965) (xy 53.48626 31.965) (xy 53.773158 31.907932) + (xy 54.043411 31.79599) (xy 54.286632 31.633475) (xy 54.493475 31.426632) (xy 54.61 31.25224) (xy 54.726525 31.426632) + (xy 54.933368 31.633475) (xy 55.176589 31.79599) (xy 55.446842 31.907932) (xy 55.73374 31.965) (xy 56.02626 31.965) + (xy 56.313158 31.907932) (xy 56.583411 31.79599) (xy 56.826632 31.633475) (xy 57.033475 31.426632) (xy 57.15 31.25224) + (xy 57.266525 31.426632) (xy 57.473368 31.633475) (xy 57.716589 31.79599) (xy 57.986842 31.907932) (xy 58.27374 31.965) + (xy 58.400198 31.965) (xy 54.570199 35.795) (xy 54.508061 35.795) (xy 54.308102 35.834774) (xy 54.119744 35.912795) + (xy 53.950226 36.026063) (xy 53.806063 36.170226) (xy 53.692795 36.339744) (xy 53.614774 36.528102) (xy 53.575 36.728061) + (xy 53.575 36.931939) (xy 53.614774 37.131898) (xy 53.692795 37.320256) (xy 53.705987 37.34) (xy 52.219048 37.34) + (xy 52.251169 37.279906) (xy 52.318071 37.05936) (xy 52.340661 36.83) (xy 52.318071 36.60064) (xy 52.251169 36.380094) + (xy 52.142526 36.176838) (xy 51.996318 35.998682) (xy 51.818162 35.852474) (xy 51.614906 35.743831) (xy 51.39436 35.676929) + (xy 51.222477 35.66) (xy 50.377523 35.66) (xy 50.20564 35.676929) (xy 49.985094 35.743831) (xy 49.781838 35.852474) + (xy 49.603682 35.998682) (xy 49.457474 36.176838) (xy 49.348831 36.380094) (xy 49.281929 36.60064) (xy 49.259339 36.83) + (xy 41.3 36.83) (xy 41.3 30.836891) (xy 49.358519 30.836891) (xy 49.455843 31.111252) (xy 49.604822 31.361355) + (xy 49.799731 31.577588) (xy 50.03308 31.751641) (xy 50.295901 31.876825) (xy 50.44311 31.921476) (xy 50.673 31.800155) + (xy 50.673 30.607) (xy 49.479186 30.607) (xy 49.358519 30.836891) (xy 41.3 30.836891) (xy 41.3 30.512279) + (xy 41.338158 30.123109) (xy 49.358519 30.123109) (xy 49.479186 30.353) (xy 50.673 30.353) (xy 50.673 29.159845) + (xy 50.44311 29.038524) (xy 50.295901 29.083175) (xy 50.03308 29.208359) (xy 49.799731 29.382412) (xy 49.604822 29.598645) + (xy 49.455843 29.848748) (xy 49.358519 30.123109) (xy 41.338158 30.123109) (xy 41.338909 30.115455) (xy 41.44478 29.764792) + (xy 41.616744 29.441375) (xy 41.848254 29.157516) (xy 42.130486 28.924035) (xy 42.452695 28.749817) (xy 42.802614 28.641498) + (xy 43.197443 28.6) (xy 73.627721 28.6) + ) + ) + ) +) diff --git a/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pretty/logo_small.kicad_mod b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pretty/logo_small.kicad_mod new file mode 100644 index 0000000..6798124 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pretty/logo_small.kicad_mod @@ -0,0 +1,106 @@ +(module Zeusbadge:logo_small (layer F.Cu) (tedit 0) + (fp_text reference Ref** (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value Val** (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy -1.016 -3.288689) (xy -1.142003 -3.138997) (xy -1.268007 -2.989305) (xy -1.182104 -3.030809) + (xy -1.025377 -3.087386) (xy -0.846598 -3.120172) (xy -0.657547 -3.129238) (xy -0.470004 -3.114655) + (xy -0.295752 -3.076497) (xy -0.164929 -3.024586) (xy -0.067915 -2.961689) (xy 0.032277 -2.874577) + (xy 0.12265 -2.776208) (xy 0.190203 -2.679541) (xy 0.200396 -2.660424) (xy 0.254 -2.552855) + (xy 0.254 -3.069167) (xy 0.881518 -3.069167) (xy 0.880072 -2.450042) (xy 0.879921 -2.267152) + (xy 0.880578 -2.121569) (xy 0.882289 -2.008269) (xy 0.885296 -1.922228) (xy 0.889844 -1.858422) + (xy 0.896176 -1.811829) (xy 0.904535 -1.777425) (xy 0.913896 -1.752948) (xy 0.971152 -1.672643) + (xy 1.054138 -1.625167) (xy 1.16035 -1.611805) (xy 1.185619 -1.613478) (xy 1.28122 -1.634538) + (xy 1.357754 -1.681383) (xy 1.424353 -1.760687) (xy 1.45408 -1.80975) (xy 1.513417 -1.915583) + (xy 1.527029 -3.069167) (xy 2.137833 -3.069167) (xy 2.137833 -2.72153) (xy 2.185458 -2.815669) + (xy 2.258964 -2.9177) (xy 2.365182 -3.005012) (xy 2.495233 -3.070792) (xy 2.536114 -3.084859) + (xy 2.623269 -3.102769) (xy 2.742311 -3.114551) (xy 2.883255 -3.120301) (xy 3.036115 -3.120112) + (xy 3.190905 -3.114079) (xy 3.337639 -3.102295) (xy 3.46633 -3.084856) (xy 3.513667 -3.075635) + (xy 3.693583 -3.036259) (xy 3.705475 -2.544021) (xy 3.550865 -2.604056) (xy 3.326485 -2.672813) + (xy 3.104529 -2.705117) (xy 2.963429 -2.705744) (xy 2.877009 -2.697913) (xy 2.819472 -2.683696) + (xy 2.777438 -2.659152) (xy 2.763281 -2.646802) (xy 2.719319 -2.584497) (xy 2.711743 -2.519641) + (xy 2.726274 -2.482613) (xy 2.766383 -2.450155) (xy 2.838853 -2.419933) (xy 2.933728 -2.395388) + (xy 3.01625 -2.382466) (xy 3.156457 -2.361403) (xy 3.299459 -2.331076) (xy 3.433035 -2.294693) + (xy 3.544964 -2.255458) (xy 3.60293 -2.228539) (xy 3.704631 -2.153435) (xy 3.758668 -2.084122) + (xy 3.781923 -2.040048) (xy 3.796927 -1.996041) (xy 3.805436 -1.941265) (xy 3.809206 -1.864882) + (xy 3.81 -1.767417) (xy 3.809025 -1.662024) (xy 3.804921 -1.588087) (xy 3.795919 -1.534747) + (xy 3.780252 -1.491148) (xy 3.758318 -1.450115) (xy 3.674898 -1.349053) (xy 3.556203 -1.268239) + (xy 3.404468 -1.208296) (xy 3.221927 -1.169846) (xy 3.010815 -1.153512) (xy 2.773365 -1.159916) + (xy 2.729504 -1.163401) (xy 2.612096 -1.17531) (xy 2.49223 -1.190343) (xy 2.386424 -1.206294) + (xy 2.329159 -1.21691) (xy 2.254258 -1.23242) (xy 2.19722 -1.243771) (xy 2.169123 -1.248766) + (xy 2.168199 -1.248833) (xy 2.164593 -1.268613) (xy 2.16165 -1.322407) (xy 2.159682 -1.401899) + (xy 2.159 -1.494515) (xy 2.159483 -1.59945) (xy 2.161637 -1.668627) (xy 2.166519 -1.708619) + (xy 2.175187 -1.725996) (xy 2.188698 -1.727331) (xy 2.196042 -1.7247) (xy 2.366911 -1.663036) + (xy 2.546916 -1.615359) (xy 2.72448 -1.583779) (xy 2.888025 -1.570405) (xy 3.013854 -1.575702) + (xy 3.11662 -1.599827) (xy 3.193226 -1.6406) (xy 3.239488 -1.693512) (xy 3.251217 -1.754055) + (xy 3.230422 -1.808898) (xy 3.205257 -1.838257) (xy 3.168347 -1.862212) (xy 3.113195 -1.882828) + (xy 3.0333 -1.902173) (xy 2.922163 -1.922312) (xy 2.826401 -1.937369) (xy 2.636219 -1.972919) + (xy 2.483188 -2.017374) (xy 2.362634 -2.073083) (xy 2.269885 -2.142399) (xy 2.200269 -2.227675) + (xy 2.179975 -2.262724) (xy 2.139704 -2.338917) (xy 2.138769 -1.762125) (xy 2.137833 -1.185333) + (xy 1.524 -1.185333) (xy 1.524 -1.453244) (xy 1.425698 -1.359054) (xy 1.292809 -1.254409) + (xy 1.150557 -1.18894) (xy 0.99134 -1.159524) (xy 0.93419 -1.157201) (xy 0.761957 -1.169408) + (xy 0.618339 -1.21056) (xy 0.500057 -1.281763) (xy 0.460985 -1.317015) (xy 0.386955 -1.405718) + (xy 0.332771 -1.507635) (xy 0.294623 -1.632148) (xy 0.268702 -1.788638) (xy 0.268388 -1.791268) + (xy 0.2448 -1.989667) (xy -0.41735 -1.989667) (xy -0.608043 -1.989812) (xy -0.760238 -1.989585) + (xy -0.877765 -1.987996) (xy -0.964456 -1.984052) (xy -1.024141 -1.976762) (xy -1.060652 -1.965135) + (xy -1.077818 -1.948179) (xy -1.079471 -1.924903) (xy -1.069441 -1.894314) (xy -1.051559 -1.855422) + (xy -1.039127 -1.828731) (xy -0.969825 -1.719242) (xy -0.873815 -1.640901) (xy -0.763574 -1.594829) + (xy -0.629055 -1.573158) (xy -0.471256 -1.577406) (xy -0.299116 -1.606033) (xy -0.121578 -1.657497) + (xy 0.05242 -1.73026) (xy 0.068188 -1.738097) (xy 0.132329 -1.769626) (xy 0.180289 -1.791683) + (xy 0.20048 -1.799167) (xy 0.204892 -1.779397) (xy 0.208484 -1.725667) (xy 0.210869 -1.646349) + (xy 0.211667 -1.556671) (xy 0.211667 -1.314175) (xy 0.04669 -1.262059) (xy -0.054642 -1.232446) + (xy -0.162556 -1.204646) (xy -0.253911 -1.184615) (xy -0.254935 -1.184423) (xy -0.349356 -1.171573) + (xy -0.466152 -1.162669) (xy -0.592323 -1.15798) (xy -0.714868 -1.157772) (xy -0.820786 -1.162312) + (xy -0.894292 -1.17129) (xy -0.973667 -1.187252) (xy -0.973667 -0.592667) (xy -3.788833 -0.592667) + (xy -3.788619 -0.851958) (xy -3.788404 -1.11125) (xy -3.691228 -1.227667) (xy -2.753326 -1.227667) + (xy -1.942871 -1.227684) (xy -1.132417 -1.2277) (xy -1.222755 -1.272417) (xy -1.367732 -1.366825) + (xy -1.492981 -1.493678) (xy -1.592451 -1.645357) (xy -1.660092 -1.814243) (xy -1.663808 -1.827746) + (xy -1.678724 -1.912308) (xy -1.688158 -2.024014) (xy -1.691884 -2.148001) (xy -1.689677 -2.269407) + (xy -1.68153 -2.370667) (xy -1.076545 -2.370667) (xy -0.300792 -2.370667) (xy -0.318324 -2.448404) + (xy -0.36246 -2.557083) (xy -0.437382 -2.63888) (xy -0.538762 -2.690555) (xy -0.662271 -2.708869) + (xy -0.66642 -2.708869) (xy -0.798212 -2.690354) (xy -0.91018 -2.638495) (xy -0.99706 -2.556982) + (xy -1.053588 -2.449505) (xy -1.058358 -2.434082) (xy -1.076545 -2.370667) (xy -1.68153 -2.370667) + (xy -1.681312 -2.37337) (xy -1.672832 -2.422989) (xy -1.661944 -2.476782) (xy -1.658356 -2.509334) + (xy -1.659172 -2.512894) (xy -1.673809 -2.4991) (xy -1.711713 -2.457234) (xy -1.769026 -2.391729) + (xy -1.841895 -2.307017) (xy -1.926463 -2.207531) (xy -1.980794 -2.143095) (xy -2.08913 -2.014314) + (xy -2.205237 -1.876443) (xy -2.320634 -1.739541) (xy -2.426845 -1.613664) (xy -2.515391 -1.508871) + (xy -2.524955 -1.497565) (xy -2.753326 -1.227667) (xy -3.691228 -1.227667) (xy -2.940318 -2.12725) + (xy -2.092231 -3.14325) (xy -2.929949 -3.148785) (xy -3.767667 -3.154319) (xy -3.767667 -3.788833) + (xy -1.016 -3.788833) (xy -1.016 -3.288689)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy 2.207666 -1.024057) (xy 2.273262 -1.013955) (xy 2.330212 -0.992493) (xy 2.3744 -0.968396) + (xy 2.501053 -0.8699) (xy 2.599025 -0.741854) (xy 2.645181 -0.645583) (xy 2.685961 -0.53975) + (xy 2.687064 -0.767292) (xy 2.688167 -0.994833) (xy 3.132667 -0.994833) (xy 3.132667 0.423333) + (xy 2.688167 0.423333) (xy 2.685961 -0.052917) (xy 2.645181 0.052917) (xy 2.57002 0.196383) + (xy 2.468504 0.309449) (xy 2.344269 0.389265) (xy 2.200952 0.432979) (xy 2.152866 0.438782) + (xy 2.004569 0.436976) (xy 1.876802 0.403809) (xy 1.758684 0.3363) (xy 1.747103 0.327637) + (xy 1.651 0.254279) (xy 1.651 0.9525) (xy 1.185819 0.9525) (xy 1.180284 0.115033) + (xy 1.177538 -0.300551) (xy 1.652692 -0.300551) (xy 1.660694 -0.187641) (xy 1.687052 -0.083604) + (xy 1.732023 0.00204) (xy 1.780419 0.050112) (xy 1.846255 0.075872) (xy 1.932137 0.083376) + (xy 2.019848 0.07247) (xy 2.075026 0.052558) (xy 2.13734 0.006643) (xy 2.179162 -0.059496) + (xy 2.203024 -0.152327) (xy 2.211455 -0.278317) (xy 2.211551 -0.296333) (xy 2.204834 -0.426827) + (xy 2.182997 -0.52337) (xy 2.14351 -0.59243) (xy 2.08384 -0.640473) (xy 2.075026 -0.645225) + (xy 1.989624 -0.671278) (xy 1.892808 -0.674331) (xy 1.806616 -0.653963) (xy 1.799167 -0.650491) + (xy 1.736286 -0.597303) (xy 1.690743 -0.514903) (xy 1.662794 -0.412812) (xy 1.652692 -0.300551) + (xy 1.177538 -0.300551) (xy 1.17475 -0.722434) (xy 1.026583 -0.154842) (xy 0.878417 0.41275) + (xy 0.383355 0.424656) (xy 0.362498 0.349117) (xy 0.350927 0.304331) (xy 0.331668 0.22658) + (xy 0.306727 0.124107) (xy 0.278113 0.005155) (xy 0.251575 -0.106244) (xy 0.222709 -0.225502) + (xy 0.196674 -0.328414) (xy 0.17514 -0.408754) (xy 0.159774 -0.460292) (xy 0.152249 -0.476805) + (xy 0.144668 -0.454408) (xy 0.128693 -0.396995) (xy 0.105929 -0.310689) (xy 0.077979 -0.201608) + (xy 0.046449 -0.075875) (xy 0.034452 -0.027397) (xy -0.074083 0.41275) (xy -0.321797 0.418705) + (xy -0.569511 0.424659) (xy -0.590367 0.344621) (xy -0.666863 0.050855) (xy -0.732925 -0.203265) + (xy -0.788885 -0.419031) (xy -0.835077 -0.59774) (xy -0.871834 -0.740684) (xy -0.899489 -0.849158) + (xy -0.918375 -0.924457) (xy -0.928824 -0.967875) (xy -0.931333 -0.980507) (xy -0.91163 -0.9864) + (xy -0.85836 -0.991117) (xy -0.780289 -0.99409) (xy -0.710078 -0.994833) (xy -0.488823 -0.994833) + (xy -0.470222 -0.926042) (xy -0.459474 -0.88389) (xy -0.440884 -0.808447) (xy -0.41637 -0.707614) + (xy -0.387856 -0.589292) (xy -0.358424 -0.466258) (xy -0.265227 -0.075266) (xy -0.167992 -0.476841) + (xy -0.136895 -0.605159) (xy -0.108363 -0.722693) (xy -0.08422 -0.821947) (xy -0.066288 -0.895423) + (xy -0.056392 -0.935624) (xy -0.056142 -0.936625) (xy -0.041527 -0.994833) (xy 0.352246 -0.994833) + (xy 0.45534 -0.560917) (xy 0.486502 -0.432165) (xy 0.515084 -0.318599) (xy 0.539481 -0.226237) + (xy 0.558086 -0.161099) (xy 0.569293 -0.129204) (xy 0.571093 -0.127) (xy 0.579524 -0.146617) + (xy 0.595904 -0.201456) (xy 0.618624 -0.285499) (xy 0.646075 -0.392728) (xy 0.676647 -0.517126) + (xy 0.687146 -0.560917) (xy 0.790538 -0.994834) (xy 1.220769 -0.994833) (xy 1.651 -0.994833) + (xy 1.651 -0.846946) (xy 1.747878 -0.920895) (xy 1.817895 -0.970026) (xy 1.881399 -1.001301) + (xy 1.951775 -1.018557) (xy 2.042408 -1.02563) (xy 2.116667 -1.026583) (xy 2.207666 -1.024057)) (layer F.SilkS) (width 0.01)) +) diff --git a/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pro b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pro new file mode 100644 index 0000000..b891ff1 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.pro @@ -0,0 +1,259 @@ +update=Tue 26 Jan 2021 04:50:01 CET +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead=can_arduino_mini_pcb.net +CopperLayerCount=2 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=1 +MinTrackWidth=0.2 +MinViaDiameter=0.4 +MinViaDrill=0.3 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.25 +ViaDiameter1=0.8 +ViaDrill1=0.4 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.12 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.2 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.05 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0 +SolderMaskMinWidth=0 +SolderPasteClearance=0 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.2 +TrackWidth=0.25 +ViaDiameter=0.8 +ViaDrill=0.4 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 +[pcbnew/Netclasses/1] +Name=Power +Clearance=0.2 +TrackWidth=0.35 +ViaDiameter=0.8 +ViaDrill=0.4 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 diff --git a/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.sch b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.sch new file mode 100644 index 0000000..eeb0489 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/can_arduino_mini_pcb.sch @@ -0,0 +1,440 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L MCU_Module:Arduino_Nano_v3.x A1 +U 1 1 600ED8F8 +P 4850 3500 +F 0 "A1" H 4850 2411 50 0000 C CNN +F 1 "Arduino_Nano_v3.x" H 4850 2320 50 0000 C CNN +F 2 "Module:Arduino_Nano" H 4850 3500 50 0001 C CIN +F 3 "http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf" H 4850 3500 50 0001 C CNN + 1 4850 3500 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R2 +U 1 1 600F00A2 +P 7550 4150 +F 0 "R2" V 7343 4150 50 0000 C CNN +F 1 "330" V 7434 4150 50 0000 C CNN +F 2 "Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal" V 7480 4150 50 0001 C CNN +F 3 "~" H 7550 4150 50 0001 C CNN + 1 7550 4150 + 0 1 -1 0 +$EndComp +$Comp +L Device:R R1 +U 1 1 600F0580 +P 7550 3950 +F 0 "R1" V 7343 3950 50 0000 C CNN +F 1 "330" V 7434 3950 50 0000 C CNN +F 2 "Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal" V 7480 3950 50 0001 C CNN +F 3 "~" H 7550 3950 50 0001 C CNN + 1 7550 3950 + 0 1 1 0 +$EndComp +$Comp +L Device:LED_RCGB D1 +U 1 1 600F1EA5 +P 7900 4150 +F 0 "D1" H 7900 3683 50 0000 C CNN +F 1 "LED_RCGB" H 7900 3774 50 0000 C CNN +F 2 "LED_THT:LED_D5.0mm-4_RGB" H 7900 4100 50 0001 C CNN +F 3 "~" H 7900 4100 50 0001 C CNN + 1 7900 4150 + -1 0 0 -1 +$EndComp +$Comp +L Connector:Conn_01x15_Female J2 +U 1 1 600FC1CE +P 6150 3900 +F 0 "J2" H 6178 3926 50 0000 L CNN +F 1 "Conn_01x15_Female" H 6178 3835 50 0000 L CNN +F 2 "Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical" H 6150 3900 50 0001 C CNN +F 3 "~" H 6150 3900 50 0001 C CNN + 1 6150 3900 + 1 0 0 -1 +$EndComp +$Comp +L Connector:Conn_01x15_Female J1 +U 1 1 601001CE +P 3650 3400 +F 0 "J1" H 3542 2475 50 0000 C CNN +F 1 "Conn_01x15_Female" H 3542 2566 50 0000 C CNN +F 2 "Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical" H 3650 3400 50 0001 C CNN +F 3 "~" H 3650 3400 50 0001 C CNN + 1 3650 3400 + -1 0 0 1 +$EndComp +Wire Wire Line + 4350 4100 4300 4100 +Wire Wire Line + 4350 3900 3850 3900 +Wire Wire Line + 4350 3700 4200 3700 +Wire Wire Line + 4350 3600 4100 3600 +Wire Wire Line + 4350 3500 3850 3500 +Wire Wire Line + 4350 3300 4100 3300 +Wire Wire Line + 4350 3200 3850 3200 +Wire Wire Line + 4350 3100 4150 3100 +Wire Wire Line + 4350 3000 4300 3000 +Wire Wire Line + 4300 3000 4300 2700 +Wire Wire Line + 4300 2700 3850 2700 +Wire Wire Line + 4350 2900 4250 2900 +Wire Wire Line + 4250 2900 4250 2800 +Wire Wire Line + 4250 2800 3850 2800 +Wire Wire Line + 5400 1800 4200 1800 +Wire Wire Line + 4200 1800 4200 2900 +Wire Wire Line + 4200 2900 3850 2900 +$Comp +L power:GND #PWR01 +U 1 1 6012CA0F +P 3850 3000 +F 0 "#PWR01" H 3850 2750 50 0001 C CNN +F 1 "GND" V 3855 2872 50 0000 R CNN +F 2 "" H 3850 3000 50 0001 C CNN +F 3 "" H 3850 3000 50 0001 C CNN + 1 3850 3000 + 0 -1 -1 0 +$EndComp +$Comp +L power:GND #PWR04 +U 1 1 6012D65A +P 4850 4500 +F 0 "#PWR04" H 4850 4250 50 0001 C CNN +F 1 "GND" H 4855 4327 50 0000 C CNN +F 2 "" H 4850 4500 50 0001 C CNN +F 3 "" H 4850 4500 50 0001 C CNN + 1 4850 4500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR05 +U 1 1 6012DD3A +P 4950 4500 +F 0 "#PWR05" H 4950 4250 50 0001 C CNN +F 1 "GND" H 4955 4327 50 0000 C CNN +F 2 "" H 4950 4500 50 0001 C CNN +F 3 "" H 4950 4500 50 0001 C CNN + 1 4950 4500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5350 3500 5950 3500 +Wire Wire Line + 5350 3600 5950 3600 +Wire Wire Line + 5350 3700 5950 3700 +Wire Wire Line + 5350 3800 5950 3800 +Wire Wire Line + 5350 4100 5950 4100 +Wire Wire Line + 5350 4200 5950 4200 +Wire Wire Line + 5750 4400 5950 4400 +$Comp +L power:GND #PWR08 +U 1 1 60144FB1 +P 5950 4500 +F 0 "#PWR08" H 5950 4250 50 0001 C CNN +F 1 "GND" V 5955 4372 50 0000 R CNN +F 2 "" H 5950 4500 50 0001 C CNN +F 3 "" H 5950 4500 50 0001 C CNN + 1 5950 4500 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR09 +U 1 1 60146393 +P 5950 4600 +F 0 "#PWR09" H 5950 4450 50 0001 C CNN +F 1 "+5V" V 5965 4728 50 0000 L CNN +F 2 "" H 5950 4600 50 0001 C CNN +F 3 "" H 5950 4600 50 0001 C CNN + 1 5950 4600 + 0 -1 -1 0 +$EndComp +$Comp +L power:VCC #PWR07 +U 1 1 601470A5 +P 5950 4300 +F 0 "#PWR07" H 5950 4150 50 0001 C CNN +F 1 "VCC" V 5965 4427 50 0000 L CNN +F 2 "" H 5950 4300 50 0001 C CNN +F 3 "" H 5950 4300 50 0001 C CNN + 1 5950 4300 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 5350 3300 5400 3300 +Wire Wire Line + 5400 3300 5400 3400 +Wire Wire Line + 5400 3400 5950 3400 +Wire Wire Line + 4350 4200 4350 4750 +Wire Wire Line + 4350 4750 6400 4750 +Wire Wire Line + 6400 4750 6400 3050 +Wire Wire Line + 6400 3050 5950 3050 +Wire Wire Line + 5950 3050 5950 3200 +$Comp +L power:+3.3V #PWR06 +U 1 1 60156630 +P 5950 3300 +F 0 "#PWR06" H 5950 3150 50 0001 C CNN +F 1 "+3.3V" V 5965 3428 50 0000 L CNN +F 2 "" H 5950 3300 50 0001 C CNN +F 3 "" H 5950 3300 50 0001 C CNN + 1 5950 3300 + 0 -1 -1 0 +$EndComp +$Comp +L power:VCC #PWR03 +U 1 1 601722BB +P 4500 5800 +F 0 "#PWR03" H 4500 5650 50 0001 C CNN +F 1 "VCC" H 4515 5973 50 0000 C CNN +F 2 "" H 4500 5800 50 0001 C CNN +F 3 "" H 4500 5800 50 0001 C CNN + 1 4500 5800 + -1 0 0 1 +$EndComp +$Comp +L power:GND #PWR012 +U 1 1 60176347 +P 8100 4150 +F 0 "#PWR012" H 8100 3900 50 0001 C CNN +F 1 "GND" V 8105 4022 50 0000 R CNN +F 2 "" H 8100 4150 50 0001 C CNN +F 3 "" H 8100 4150 50 0001 C CNN + 1 8100 4150 + 0 -1 1 0 +$EndComp +NoConn ~ 7700 4350 +$Comp +L Connector:Conn_01x02_Female J3 +U 1 1 601B1E80 +P 6800 5150 +F 0 "J3" H 6828 5126 50 0000 L CNN +F 1 "Conn_01x02_Female" H 6828 5035 50 0000 L CNN +F 2 "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" H 6800 5150 50 0001 C CNN +F 3 "~" H 6800 5150 50 0001 C CNN + 1 6800 5150 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR010 +U 1 1 601B2F1D +P 6600 5150 +F 0 "#PWR010" H 6600 4900 50 0001 C CNN +F 1 "GND" V 6605 5022 50 0000 R CNN +F 2 "" H 6600 5150 50 0001 C CNN +F 3 "" H 6600 5150 50 0001 C CNN + 1 6600 5150 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR011 +U 1 1 601B35EA +P 6600 5250 +F 0 "#PWR011" H 6600 5100 50 0001 C CNN +F 1 "+5V" V 6615 5378 50 0000 L CNN +F 2 "" H 6600 5250 50 0001 C CNN +F 3 "" H 6600 5250 50 0001 C CNN + 1 6600 5250 + 0 -1 -1 0 +$EndComp +$Comp +L power:+3.3V #PWR014 +U 1 1 601B5CED +P 4950 2500 +F 0 "#PWR014" H 4950 2350 50 0001 C CNN +F 1 "+3.3V" H 4965 2673 50 0000 C CNN +F 2 "" H 4950 2500 50 0001 C CNN +F 3 "" H 4950 2500 50 0001 C CNN + 1 4950 2500 + 1 0 0 -1 +$EndComp +$Comp +L power:VCC #PWR015 +U 1 1 601B6094 +P 5050 2500 +F 0 "#PWR015" H 5050 2350 50 0001 C CNN +F 1 "VCC" H 5065 2673 50 0000 C CNN +F 2 "" H 5050 2500 50 0001 C CNN +F 3 "" H 5050 2500 50 0001 C CNN + 1 5050 2500 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR013 +U 1 1 601B69BB +P 4750 2500 +F 0 "#PWR013" H 4750 2350 50 0001 C CNN +F 1 "+5V" H 4765 2673 50 0000 C CNN +F 2 "" H 4750 2500 50 0001 C CNN +F 3 "" H 4750 2500 50 0001 C CNN + 1 4750 2500 + 1 0 0 -1 +$EndComp +$Comp +L custom_components:CAN_MODULE U1 +U 1 1 601E578C +P 4900 5500 +F 0 "U1" H 5128 5551 50 0000 L CNN +F 1 "CAN_MODULE" H 5128 5460 50 0000 L CNN +F 2 "Zeusbadge:logo_small" H 4400 5550 50 0001 C CNN +F 3 "" H 4400 5550 50 0001 C CNN + 1 4900 5500 + 1 0 0 -1 +$EndComp +$Comp +L Connector:Conn_01x07_Female J4 +U 1 1 60215342 +P 4700 5500 +F 0 "J4" H 4728 5526 50 0000 L CNN +F 1 "Conn_01x07_Female" H 4728 5435 50 0000 L CNN +F 2 "Connector_PinSocket_2.54mm:PinSocket_1x07_P2.54mm_Vertical" H 4700 5500 50 0001 C CNN +F 3 "~" H 4700 5500 50 0001 C CNN + 1 4700 5500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4350 4750 4350 5300 +Wire Wire Line + 4350 5300 4500 5300 +Connection ~ 4350 4750 +Connection ~ 4500 5300 +Wire Wire Line + 3850 3800 4350 3800 +Wire Wire Line + 3850 3400 4350 3400 +Wire Wire Line + 3850 4000 4250 4000 +Wire Wire Line + 4300 4100 4300 5500 +Wire Wire Line + 4300 5500 4500 5500 +Connection ~ 4300 4100 +Wire Wire Line + 4300 4100 3850 4100 +Connection ~ 4500 5500 +Wire Wire Line + 4500 5400 4250 5400 +Wire Wire Line + 4250 5400 4250 4000 +Connection ~ 4500 5400 +Connection ~ 4250 4000 +Wire Wire Line + 4250 4000 4350 4000 +Wire Wire Line + 4200 3700 4200 5600 +Wire Wire Line + 4200 5600 4500 5600 +Connection ~ 4200 3700 +Wire Wire Line + 4200 3700 3850 3700 +Connection ~ 4500 5600 +$Comp +L power:GND #PWR02 +U 1 1 60237003 +P 4500 5700 +F 0 "#PWR02" H 4500 5450 50 0001 C CNN +F 1 "GND" V 4505 5572 50 0000 R CNN +F 2 "" H 4500 5700 50 0001 C CNN +F 3 "" H 4500 5700 50 0001 C CNN + 1 4500 5700 + 0 1 1 0 +$EndComp +Connection ~ 4500 5700 +Connection ~ 4500 5800 +Wire Wire Line + 5350 2900 5750 2900 +Wire Wire Line + 5750 2900 5750 4400 +Wire Wire Line + 5350 3000 5400 3000 +Wire Wire Line + 5400 3000 5400 1800 +$Comp +L Device:Jumper_NO_Small JP1 +U 1 1 602581FC +P 4500 5100 +F 0 "JP1" V 4454 5148 50 0000 L CNN +F 1 "Jumper_NO_Small" V 4545 5148 50 0000 L CNN +F 2 "Jumper:SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm" H 4500 5100 50 0001 C CNN +F 3 "~" H 4500 5100 50 0001 C CNN + 1 4500 5100 + 0 1 1 0 +$EndComp +Connection ~ 4500 5200 +Wire Wire Line + 4500 5000 4500 4500 +Wire Wire Line + 4500 4500 4150 4500 +Wire Wire Line + 4150 4500 4150 3100 +Connection ~ 4150 3100 +Wire Wire Line + 4150 3100 3850 3100 +Wire Wire Line + 5350 4000 5950 4000 +Wire Wire Line + 5350 3900 5950 3900 +Wire Wire Line + 7400 3950 7400 1700 +Wire Wire Line + 7400 1700 3450 1700 +Wire Wire Line + 3450 1700 3450 3250 +Wire Wire Line + 3450 3250 4100 3250 +Wire Wire Line + 4100 3250 4100 3300 +Connection ~ 4100 3300 +Wire Wire Line + 4100 3300 3850 3300 +Wire Wire Line + 7400 4150 7100 4150 +Wire Wire Line + 7100 4150 7100 6050 +Wire Wire Line + 7100 6050 4100 6050 +Wire Wire Line + 4100 6050 4100 3600 +Connection ~ 4100 3600 +Wire Wire Line + 4100 3600 3850 3600 +$EndSCHEMATC diff --git a/pcb/can_arduino_mini_pcb/custom_components.dcm b/pcb/can_arduino_mini_pcb/custom_components.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/custom_components.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/pcb/can_arduino_mini_pcb/custom_components.lib b/pcb/can_arduino_mini_pcb/custom_components.lib new file mode 100644 index 0000000..30899fa --- /dev/null +++ b/pcb/can_arduino_mini_pcb/custom_components.lib @@ -0,0 +1,23 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# CAN_MODULE +# +DEF CAN_MODULE U 0 40 Y Y 1 F N +F0 "U" -250 -400 50 H V C CNN +F1 "CAN_MODULE" -50 400 50 H V C CNN +F2 "" -500 50 50 H I C CNN +F3 "" -500 50 50 H I C CNN +DRAW +P 5 0 1 0 -300 350 -300 -350 200 -350 200 350 -300 350 f +X CS ~ -400 -100 100 R 50 50 1 1 I +X GND ~ -400 -200 100 R 50 50 1 1 W +X INT ~ -400 300 100 R 50 50 1 1 O +X SCK ~ -400 200 100 R 50 50 1 1 I +X SI ~ -400 100 100 R 50 50 1 1 I +X SO ~ -400 0 100 R 50 50 1 1 O +X VCC ~ -400 -300 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +#End Library diff --git a/pcb/can_arduino_mini_pcb/fp-lib-table b/pcb/can_arduino_mini_pcb/fp-lib-table new file mode 100644 index 0000000..a28955d --- /dev/null +++ b/pcb/can_arduino_mini_pcb/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name can_arduino_mini_pcb)(type KiCad)(uri ${KIPRJMOD}/can_arduino_mini_pcb.pretty)(options "")(descr "")) +) diff --git a/pcb/can_arduino_mini_pcb/sym-lib-table b/pcb/can_arduino_mini_pcb/sym-lib-table new file mode 100644 index 0000000..0a24f12 --- /dev/null +++ b/pcb/can_arduino_mini_pcb/sym-lib-table @@ -0,0 +1,3 @@ +(sym_lib_table + (lib (name custom_components)(type Legacy)(uri ${KIPRJMOD}/custom_components.lib)(options "")(descr "")) +) diff --git a/pcb/panel/build.sh b/pcb/panel/build.sh new file mode 100755 index 0000000..0b6e0ce --- /dev/null +++ b/pcb/panel/build.sh @@ -0,0 +1,2 @@ +#!/bin/sh +kikit panelize grid --space 3 --gridsize 1 2 --tabwidth 5 --tabheight 10 --htabs 1 --vtabs 1 --mousebites 0.5 1 0.25 --radius 1 ../can_arduino_mini_pcb/can_arduino_mini_pcb.kicad_pcb can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.kicad_pcb diff --git a/pcb/panel/can_arduino_mini_pcb_panel/.gitignore b/pcb/panel/can_arduino_mini_pcb_panel/.gitignore new file mode 100644 index 0000000..bd70969 --- /dev/null +++ b/pcb/panel/can_arduino_mini_pcb_panel/.gitignore @@ -0,0 +1,28 @@ +# For PCBs designed using KiCad: http://www.kicad-pcb.org/ +# Format documentation: http://kicad-pcb.org/help/file-formats/ + +# Temporary files +*.000 +*.bak +*.bck +*.kicad_pcb-bak +*.kicad_sch-bak +*.kicad_prl +*.sch-bak +*~ +_autosave-* +*.tmp +*-save.pro +*-save.kicad_pcb +fp-info-cache + +# Netlist files (exported from Eeschema) +*.net + +# Autorouter files (exported from Pcbnew) +*.dsn +*.ses + +# Exported BOM files +*.xml +*.csv diff --git a/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.kicad_pcb b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.kicad_pcb new file mode 100644 index 0000000..64ef1e6 --- /dev/null +++ b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.kicad_pcb @@ -0,0 +1,3520 @@ +(kicad_pcb (version 20171130) (host pcbnew 5.1.9) + + (general + (thickness 1.6) + (drawings 308) + (tracks 128) + (zones 0) + (modules 42) + (nets 65) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.05) + (segment_width 0.2) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.12) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (excludeedgelayer true) + (linewidth 0.150000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "fabricate/")) + ) + + (net 0 "") + (net 1 Board_1-+3V3) + (net 2 Board_1-+5V) + (net 3 Board_1-GND) + (net 4 "Board_1-Net-(A1-Pad1)") + (net 5 "Board_1-Net-(A1-Pad10)") + (net 6 "Board_1-Net-(A1-Pad11)") + (net 7 "Board_1-Net-(A1-Pad12)") + (net 8 "Board_1-Net-(A1-Pad13)") + (net 9 "Board_1-Net-(A1-Pad14)") + (net 10 "Board_1-Net-(A1-Pad15)") + (net 11 "Board_1-Net-(A1-Pad16)") + (net 12 "Board_1-Net-(A1-Pad18)") + (net 13 "Board_1-Net-(A1-Pad19)") + (net 14 "Board_1-Net-(A1-Pad2)") + (net 15 "Board_1-Net-(A1-Pad20)") + (net 16 "Board_1-Net-(A1-Pad21)") + (net 17 "Board_1-Net-(A1-Pad22)") + (net 18 "Board_1-Net-(A1-Pad23)") + (net 19 "Board_1-Net-(A1-Pad24)") + (net 20 "Board_1-Net-(A1-Pad25)") + (net 21 "Board_1-Net-(A1-Pad26)") + (net 22 "Board_1-Net-(A1-Pad28)") + (net 23 "Board_1-Net-(A1-Pad3)") + (net 24 "Board_1-Net-(A1-Pad5)") + (net 25 "Board_1-Net-(A1-Pad6)") + (net 26 "Board_1-Net-(A1-Pad7)") + (net 27 "Board_1-Net-(A1-Pad8)") + (net 28 "Board_1-Net-(A1-Pad9)") + (net 29 "Board_1-Net-(D1-Pad1)") + (net 30 "Board_1-Net-(D1-Pad3)") + (net 31 "Board_1-Net-(J4-Pad1)") + (net 32 Board_1-VCC) + (net 33 Board_2-+3V3) + (net 34 Board_2-+5V) + (net 35 Board_2-GND) + (net 36 "Board_2-Net-(A1-Pad1)") + (net 37 "Board_2-Net-(A1-Pad10)") + (net 38 "Board_2-Net-(A1-Pad11)") + (net 39 "Board_2-Net-(A1-Pad12)") + (net 40 "Board_2-Net-(A1-Pad13)") + (net 41 "Board_2-Net-(A1-Pad14)") + (net 42 "Board_2-Net-(A1-Pad15)") + (net 43 "Board_2-Net-(A1-Pad16)") + (net 44 "Board_2-Net-(A1-Pad18)") + (net 45 "Board_2-Net-(A1-Pad19)") + (net 46 "Board_2-Net-(A1-Pad2)") + (net 47 "Board_2-Net-(A1-Pad20)") + (net 48 "Board_2-Net-(A1-Pad21)") + (net 49 "Board_2-Net-(A1-Pad22)") + (net 50 "Board_2-Net-(A1-Pad23)") + (net 51 "Board_2-Net-(A1-Pad24)") + (net 52 "Board_2-Net-(A1-Pad25)") + (net 53 "Board_2-Net-(A1-Pad26)") + (net 54 "Board_2-Net-(A1-Pad28)") + (net 55 "Board_2-Net-(A1-Pad3)") + (net 56 "Board_2-Net-(A1-Pad5)") + (net 57 "Board_2-Net-(A1-Pad6)") + (net 58 "Board_2-Net-(A1-Pad7)") + (net 59 "Board_2-Net-(A1-Pad8)") + (net 60 "Board_2-Net-(A1-Pad9)") + (net 61 "Board_2-Net-(D1-Pad1)") + (net 62 "Board_2-Net-(D1-Pad3)") + (net 63 "Board_2-Net-(J4-Pad1)") + (net 64 Board_2-VCC) + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net Board_1-+3V3) + (add_net Board_1-+5V) + (add_net Board_1-GND) + (add_net "Board_1-Net-(A1-Pad1)") + (add_net "Board_1-Net-(A1-Pad10)") + (add_net "Board_1-Net-(A1-Pad11)") + (add_net "Board_1-Net-(A1-Pad12)") + (add_net "Board_1-Net-(A1-Pad13)") + (add_net "Board_1-Net-(A1-Pad14)") + (add_net "Board_1-Net-(A1-Pad15)") + (add_net "Board_1-Net-(A1-Pad16)") + (add_net "Board_1-Net-(A1-Pad18)") + (add_net "Board_1-Net-(A1-Pad19)") + (add_net "Board_1-Net-(A1-Pad2)") + (add_net "Board_1-Net-(A1-Pad20)") + (add_net "Board_1-Net-(A1-Pad21)") + (add_net "Board_1-Net-(A1-Pad22)") + (add_net "Board_1-Net-(A1-Pad23)") + (add_net "Board_1-Net-(A1-Pad24)") + (add_net "Board_1-Net-(A1-Pad25)") + (add_net "Board_1-Net-(A1-Pad26)") + (add_net "Board_1-Net-(A1-Pad28)") + (add_net "Board_1-Net-(A1-Pad3)") + (add_net "Board_1-Net-(A1-Pad5)") + (add_net "Board_1-Net-(A1-Pad6)") + (add_net "Board_1-Net-(A1-Pad7)") + (add_net "Board_1-Net-(A1-Pad8)") + (add_net "Board_1-Net-(A1-Pad9)") + (add_net "Board_1-Net-(D1-Pad1)") + (add_net "Board_1-Net-(D1-Pad3)") + (add_net "Board_1-Net-(J4-Pad1)") + (add_net Board_1-VCC) + (add_net Board_2-+3V3) + (add_net Board_2-+5V) + (add_net Board_2-GND) + (add_net "Board_2-Net-(A1-Pad1)") + (add_net "Board_2-Net-(A1-Pad10)") + (add_net "Board_2-Net-(A1-Pad11)") + (add_net "Board_2-Net-(A1-Pad12)") + (add_net "Board_2-Net-(A1-Pad13)") + (add_net "Board_2-Net-(A1-Pad14)") + (add_net "Board_2-Net-(A1-Pad15)") + (add_net "Board_2-Net-(A1-Pad16)") + (add_net "Board_2-Net-(A1-Pad18)") + (add_net "Board_2-Net-(A1-Pad19)") + (add_net "Board_2-Net-(A1-Pad2)") + (add_net "Board_2-Net-(A1-Pad20)") + (add_net "Board_2-Net-(A1-Pad21)") + (add_net "Board_2-Net-(A1-Pad22)") + (add_net "Board_2-Net-(A1-Pad23)") + (add_net "Board_2-Net-(A1-Pad24)") + (add_net "Board_2-Net-(A1-Pad25)") + (add_net "Board_2-Net-(A1-Pad26)") + (add_net "Board_2-Net-(A1-Pad28)") + (add_net "Board_2-Net-(A1-Pad3)") + (add_net "Board_2-Net-(A1-Pad5)") + (add_net "Board_2-Net-(A1-Pad6)") + (add_net "Board_2-Net-(A1-Pad7)") + (add_net "Board_2-Net-(A1-Pad8)") + (add_net "Board_2-Net-(A1-Pad9)") + (add_net "Board_2-Net-(D1-Pad1)") + (add_net "Board_2-Net-(D1-Pad3)") + (add_net "Board_2-Net-(J4-Pad1)") + (add_net Board_2-VCC) + ) + + (net_class Power "" + (clearance 0.2) + (trace_width 0.35) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 601008C0) + (at 88.809005 79.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 601008B8) + (at 88.809005 80.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 601008B0) + (at 88.809005 81.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 601008A8) + (at 88.809005 82.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 601008A0) + (at 88.809005 83.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100898) + (at 88.809004 84.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100890) + (at 88.809004 85.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100888) + (at 88.809004 86.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100880) + (at 88.809004 87.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100878) + (at 88.809004 88.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100870) + (at 88.809004 89.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100868) + (at 85.311 89.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100860) + (at 85.311 88.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100858) + (at 85.311 87.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100850) + (at 85.311 86.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100848) + (at 85.311 85.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100840) + (at 85.311 84.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100838) + (at 85.311 83.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100830) + (at 85.311 82.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100828) + (at 85.311 81.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100820) + (at 85.311 80.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module NPTH (layer F.Cu) (tedit 5FA56C77) (tstamp 60100818) + (at 85.311 79.290004) + (fp_text reference REF** (at 0 0.5) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value NPTH (at 0 -0.5) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad "" np_thru_hole circle (at 0 0) (size 0.5 0.5) (drill 0.5) (layers *.Cu)) + ) + + (module Zeusbadge:logo_small (layer F.Cu) (tedit 0) (tstamp 601007A7) + (at 93.64001 116.548001) + (path /601E578C) + (fp_text reference U1 (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value CAN_MODULE (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy 2.207666 -1.024057) (xy 2.273262 -1.013955) (xy 2.330212 -0.992493) (xy 2.3744 -0.968396) + (xy 2.501053 -0.8699) (xy 2.599025 -0.741854) (xy 2.645181 -0.645583) (xy 2.685961 -0.53975) + (xy 2.687064 -0.767292) (xy 2.688167 -0.994833) (xy 3.132667 -0.994833) (xy 3.132667 0.423333) + (xy 2.688167 0.423333) (xy 2.685961 -0.052917) (xy 2.645181 0.052917) (xy 2.57002 0.196383) + (xy 2.468504 0.309449) (xy 2.344269 0.389265) (xy 2.200952 0.432979) (xy 2.152866 0.438782) + (xy 2.004569 0.436976) (xy 1.876802 0.403809) (xy 1.758684 0.3363) (xy 1.747103 0.327637) + (xy 1.651 0.254279) (xy 1.651 0.9525) (xy 1.185819 0.9525) (xy 1.180284 0.115033) + (xy 1.177538 -0.300551) (xy 1.652692 -0.300551) (xy 1.660694 -0.187641) (xy 1.687052 -0.083604) + (xy 1.732023 0.00204) (xy 1.780419 0.050112) (xy 1.846255 0.075872) (xy 1.932137 0.083376) + (xy 2.019848 0.07247) (xy 2.075026 0.052558) (xy 2.13734 0.006643) (xy 2.179162 -0.059496) + (xy 2.203024 -0.152327) (xy 2.211455 -0.278317) (xy 2.211551 -0.296333) (xy 2.204834 -0.426827) + (xy 2.182997 -0.52337) (xy 2.14351 -0.59243) (xy 2.08384 -0.640473) (xy 2.075026 -0.645225) + (xy 1.989624 -0.671278) (xy 1.892808 -0.674331) (xy 1.806616 -0.653963) (xy 1.799167 -0.650491) + (xy 1.736286 -0.597303) (xy 1.690743 -0.514903) (xy 1.662794 -0.412812) (xy 1.652692 -0.300551) + (xy 1.177538 -0.300551) (xy 1.17475 -0.722434) (xy 1.026583 -0.154842) (xy 0.878417 0.41275) + (xy 0.383355 0.424656) (xy 0.362498 0.349117) (xy 0.350927 0.304331) (xy 0.331668 0.22658) + (xy 0.306727 0.124107) (xy 0.278113 0.005155) (xy 0.251575 -0.106244) (xy 0.222709 -0.225502) + (xy 0.196674 -0.328414) (xy 0.17514 -0.408754) (xy 0.159774 -0.460292) (xy 0.152249 -0.476805) + (xy 0.144668 -0.454408) (xy 0.128693 -0.396995) (xy 0.105929 -0.310689) (xy 0.077979 -0.201608) + (xy 0.046449 -0.075875) (xy 0.034452 -0.027397) (xy -0.074083 0.41275) (xy -0.321797 0.418705) + (xy -0.569511 0.424659) (xy -0.590367 0.344621) (xy -0.666863 0.050855) (xy -0.732925 -0.203265) + (xy -0.788885 -0.419031) (xy -0.835077 -0.59774) (xy -0.871834 -0.740684) (xy -0.899489 -0.849158) + (xy -0.918375 -0.924457) (xy -0.928824 -0.967875) (xy -0.931333 -0.980507) (xy -0.91163 -0.9864) + (xy -0.85836 -0.991117) (xy -0.780289 -0.99409) (xy -0.710078 -0.994833) (xy -0.488823 -0.994833) + (xy -0.470222 -0.926042) (xy -0.459474 -0.88389) (xy -0.440884 -0.808447) (xy -0.41637 -0.707614) + (xy -0.387856 -0.589292) (xy -0.358424 -0.466258) (xy -0.265227 -0.075266) (xy -0.167992 -0.476841) + (xy -0.136895 -0.605159) (xy -0.108363 -0.722693) (xy -0.08422 -0.821947) (xy -0.066288 -0.895423) + (xy -0.056392 -0.935624) (xy -0.056142 -0.936625) (xy -0.041527 -0.994833) (xy 0.352246 -0.994833) + (xy 0.45534 -0.560917) (xy 0.486502 -0.432165) (xy 0.515084 -0.318599) (xy 0.539481 -0.226237) + (xy 0.558086 -0.161099) (xy 0.569293 -0.129204) (xy 0.571093 -0.127) (xy 0.579524 -0.146617) + (xy 0.595904 -0.201456) (xy 0.618624 -0.285499) (xy 0.646075 -0.392728) (xy 0.676647 -0.517126) + (xy 0.687146 -0.560917) (xy 0.790538 -0.994834) (xy 1.220769 -0.994833) (xy 1.651 -0.994833) + (xy 1.651 -0.846946) (xy 1.747878 -0.920895) (xy 1.817895 -0.970026) (xy 1.881399 -1.001301) + (xy 1.951775 -1.018557) (xy 2.042408 -1.02563) (xy 2.116667 -1.026583) (xy 2.207666 -1.024057)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.016 -3.288689) (xy -1.142003 -3.138997) (xy -1.268007 -2.989305) (xy -1.182104 -3.030809) + (xy -1.025377 -3.087386) (xy -0.846598 -3.120172) (xy -0.657547 -3.129238) (xy -0.470004 -3.114655) + (xy -0.295752 -3.076497) (xy -0.164929 -3.024586) (xy -0.067915 -2.961689) (xy 0.032277 -2.874577) + (xy 0.12265 -2.776208) (xy 0.190203 -2.679541) (xy 0.200396 -2.660424) (xy 0.254 -2.552855) + (xy 0.254 -3.069167) (xy 0.881518 -3.069167) (xy 0.880072 -2.450042) (xy 0.879921 -2.267152) + (xy 0.880578 -2.121569) (xy 0.882289 -2.008269) (xy 0.885296 -1.922228) (xy 0.889844 -1.858422) + (xy 0.896176 -1.811829) (xy 0.904535 -1.777425) (xy 0.913896 -1.752948) (xy 0.971152 -1.672643) + (xy 1.054138 -1.625167) (xy 1.16035 -1.611805) (xy 1.185619 -1.613478) (xy 1.28122 -1.634538) + (xy 1.357754 -1.681383) (xy 1.424353 -1.760687) (xy 1.45408 -1.80975) (xy 1.513417 -1.915583) + (xy 1.527029 -3.069167) (xy 2.137833 -3.069167) (xy 2.137833 -2.72153) (xy 2.185458 -2.815669) + (xy 2.258964 -2.9177) (xy 2.365182 -3.005012) (xy 2.495233 -3.070792) (xy 2.536114 -3.084859) + (xy 2.623269 -3.102769) (xy 2.742311 -3.114551) (xy 2.883255 -3.120301) (xy 3.036115 -3.120112) + (xy 3.190905 -3.114079) (xy 3.337639 -3.102295) (xy 3.46633 -3.084856) (xy 3.513667 -3.075635) + (xy 3.693583 -3.036259) (xy 3.705475 -2.544021) (xy 3.550865 -2.604056) (xy 3.326485 -2.672813) + (xy 3.104529 -2.705117) (xy 2.963429 -2.705744) (xy 2.877009 -2.697913) (xy 2.819472 -2.683696) + (xy 2.777438 -2.659152) (xy 2.763281 -2.646802) (xy 2.719319 -2.584497) (xy 2.711743 -2.519641) + (xy 2.726274 -2.482613) (xy 2.766383 -2.450155) (xy 2.838853 -2.419933) (xy 2.933728 -2.395388) + (xy 3.01625 -2.382466) (xy 3.156457 -2.361403) (xy 3.299459 -2.331076) (xy 3.433035 -2.294693) + (xy 3.544964 -2.255458) (xy 3.60293 -2.228539) (xy 3.704631 -2.153435) (xy 3.758668 -2.084122) + (xy 3.781923 -2.040048) (xy 3.796927 -1.996041) (xy 3.805436 -1.941265) (xy 3.809206 -1.864882) + (xy 3.81 -1.767417) (xy 3.809025 -1.662024) (xy 3.804921 -1.588087) (xy 3.795919 -1.534747) + (xy 3.780252 -1.491148) (xy 3.758318 -1.450115) (xy 3.674898 -1.349053) (xy 3.556203 -1.268239) + (xy 3.404468 -1.208296) (xy 3.221927 -1.169846) (xy 3.010815 -1.153512) (xy 2.773365 -1.159916) + (xy 2.729504 -1.163401) (xy 2.612096 -1.17531) (xy 2.49223 -1.190343) (xy 2.386424 -1.206294) + (xy 2.329159 -1.21691) (xy 2.254258 -1.23242) (xy 2.19722 -1.243771) (xy 2.169123 -1.248766) + (xy 2.168199 -1.248833) (xy 2.164593 -1.268613) (xy 2.16165 -1.322407) (xy 2.159682 -1.401899) + (xy 2.159 -1.494515) (xy 2.159483 -1.59945) (xy 2.161637 -1.668627) (xy 2.166519 -1.708619) + (xy 2.175187 -1.725996) (xy 2.188698 -1.727331) (xy 2.196042 -1.7247) (xy 2.366911 -1.663036) + (xy 2.546916 -1.615359) (xy 2.72448 -1.583779) (xy 2.888025 -1.570405) (xy 3.013854 -1.575702) + (xy 3.11662 -1.599827) (xy 3.193226 -1.6406) (xy 3.239488 -1.693512) (xy 3.251217 -1.754055) + (xy 3.230422 -1.808898) (xy 3.205257 -1.838257) (xy 3.168347 -1.862212) (xy 3.113195 -1.882828) + (xy 3.0333 -1.902173) (xy 2.922163 -1.922312) (xy 2.826401 -1.937369) (xy 2.636219 -1.972919) + (xy 2.483188 -2.017374) (xy 2.362634 -2.073083) (xy 2.269885 -2.142399) (xy 2.200269 -2.227675) + (xy 2.179975 -2.262724) (xy 2.139704 -2.338917) (xy 2.138769 -1.762125) (xy 2.137833 -1.185333) + (xy 1.524 -1.185333) (xy 1.524 -1.453244) (xy 1.425698 -1.359054) (xy 1.292809 -1.254409) + (xy 1.150557 -1.18894) (xy 0.99134 -1.159524) (xy 0.93419 -1.157201) (xy 0.761957 -1.169408) + (xy 0.618339 -1.21056) (xy 0.500057 -1.281763) (xy 0.460985 -1.317015) (xy 0.386955 -1.405718) + (xy 0.332771 -1.507635) (xy 0.294623 -1.632148) (xy 0.268702 -1.788638) (xy 0.268388 -1.791268) + (xy 0.2448 -1.989667) (xy -0.41735 -1.989667) (xy -0.608043 -1.989812) (xy -0.760238 -1.989585) + (xy -0.877765 -1.987996) (xy -0.964456 -1.984052) (xy -1.024141 -1.976762) (xy -1.060652 -1.965135) + (xy -1.077818 -1.948179) (xy -1.079471 -1.924903) (xy -1.069441 -1.894314) (xy -1.051559 -1.855422) + (xy -1.039127 -1.828731) (xy -0.969825 -1.719242) (xy -0.873815 -1.640901) (xy -0.763574 -1.594829) + (xy -0.629055 -1.573158) (xy -0.471256 -1.577406) (xy -0.299116 -1.606033) (xy -0.121578 -1.657497) + (xy 0.05242 -1.73026) (xy 0.068188 -1.738097) (xy 0.132329 -1.769626) (xy 0.180289 -1.791683) + (xy 0.20048 -1.799167) (xy 0.204892 -1.779397) (xy 0.208484 -1.725667) (xy 0.210869 -1.646349) + (xy 0.211667 -1.556671) (xy 0.211667 -1.314175) (xy 0.04669 -1.262059) (xy -0.054642 -1.232446) + (xy -0.162556 -1.204646) (xy -0.253911 -1.184615) (xy -0.254935 -1.184423) (xy -0.349356 -1.171573) + (xy -0.466152 -1.162669) (xy -0.592323 -1.15798) (xy -0.714868 -1.157772) (xy -0.820786 -1.162312) + (xy -0.894292 -1.17129) (xy -0.973667 -1.187252) (xy -0.973667 -0.592667) (xy -3.788833 -0.592667) + (xy -3.788619 -0.851958) (xy -3.788404 -1.11125) (xy -3.691228 -1.227667) (xy -2.753326 -1.227667) + (xy -1.942871 -1.227684) (xy -1.132417 -1.2277) (xy -1.222755 -1.272417) (xy -1.367732 -1.366825) + (xy -1.492981 -1.493678) (xy -1.592451 -1.645357) (xy -1.660092 -1.814243) (xy -1.663808 -1.827746) + (xy -1.678724 -1.912308) (xy -1.688158 -2.024014) (xy -1.691884 -2.148001) (xy -1.689677 -2.269407) + (xy -1.68153 -2.370667) (xy -1.076545 -2.370667) (xy -0.300792 -2.370667) (xy -0.318324 -2.448404) + (xy -0.36246 -2.557083) (xy -0.437382 -2.63888) (xy -0.538762 -2.690555) (xy -0.662271 -2.708869) + (xy -0.66642 -2.708869) (xy -0.798212 -2.690354) (xy -0.91018 -2.638495) (xy -0.99706 -2.556982) + (xy -1.053588 -2.449505) (xy -1.058358 -2.434082) (xy -1.076545 -2.370667) (xy -1.68153 -2.370667) + (xy -1.681312 -2.37337) (xy -1.672832 -2.422989) (xy -1.661944 -2.476782) (xy -1.658356 -2.509334) + (xy -1.659172 -2.512894) (xy -1.673809 -2.4991) (xy -1.711713 -2.457234) (xy -1.769026 -2.391729) + (xy -1.841895 -2.307017) (xy -1.926463 -2.207531) (xy -1.980794 -2.143095) (xy -2.08913 -2.014314) + (xy -2.205237 -1.876443) (xy -2.320634 -1.739541) (xy -2.426845 -1.613664) (xy -2.515391 -1.508871) + (xy -2.524955 -1.497565) (xy -2.753326 -1.227667) (xy -3.691228 -1.227667) (xy -2.940318 -2.12725) + (xy -2.092231 -3.14325) (xy -2.929949 -3.148785) (xy -3.767667 -3.154319) (xy -3.767667 -3.788833) + (xy -1.016 -3.788833) (xy -1.016 -3.288689)) (layer F.SilkS) (width 0.01)) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A420) (tstamp 60100792) + (at 117.77001 114.770001 270) + (descr "Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x02 2.54mm single row") + (path /601B1E80) + (fp_text reference J3 (at 1.524 -3.048 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x02_Female (at 0 5.31 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 34 Board_2-+5V)) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x02_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 60100770) + (at 121.58001 105.880001 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /600FC1CE) + (fp_text reference J2 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 34 Board_2-+5V)) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 54 "Board_2-Net-(A1-Pad28)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 64 Board_2-VCC)) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 53 "Board_2-Net-(A1-Pad26)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 52 "Board_2-Net-(A1-Pad25)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 51 "Board_2-Net-(A1-Pad24)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 50 "Board_2-Net-(A1-Pad23)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 49 "Board_2-Net-(A1-Pad22)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 48 "Board_2-Net-(A1-Pad21)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 47 "Board_2-Net-(A1-Pad20)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 45 "Board_2-Net-(A1-Pad19)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 44 "Board_2-Net-(A1-Pad18)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 33 Board_2-+3V3)) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 43 "Board_2-Net-(A1-Pad16)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 6010074E) + (at 91.10001 105.880001 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /601001CE) + (fp_text reference J1 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 42 "Board_2-Net-(A1-Pad15)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 41 "Board_2-Net-(A1-Pad14)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 40 "Board_2-Net-(A1-Pad13)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 39 "Board_2-Net-(A1-Pad12)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 38 "Board_2-Net-(A1-Pad11)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 37 "Board_2-Net-(A1-Pad10)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 60 "Board_2-Net-(A1-Pad9)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 59 "Board_2-Net-(A1-Pad8)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 58 "Board_2-Net-(A1-Pad7)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 57 "Board_2-Net-(A1-Pad6)")) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 56 "Board_2-Net-(A1-Pad5)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 55 "Board_2-Net-(A1-Pad3)")) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "Board_2-Net-(A1-Pad2)")) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 36 "Board_2-Net-(A1-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm (layer F.Cu) (tedit 5A64794F) (tstamp 60100741) + (at 118.49501 52.540001 180) + (descr "SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /602581FC) + (attr virtual) + (fp_text reference JP1 (at 0 -2.54) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper_NO_Small (at -4.355 3.81) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 1 smd custom (at -0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 56 "Board_2-Net-(A1-Pad5)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.5 -0.75) (xy 0.5 -0.75) (xy 1 0) (xy 0.5 0.75) (xy -0.5 0.75) +) (width 0)) + )) + (pad 2 smd custom (at 0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 63 "Board_2-Net-(J4-Pad1)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.65 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy -0.65 0.75) (xy -0.15 0) +) (width 0)) + )) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x07_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A433) (tstamp 60100727) + (at 113.96001 52.540001 270) + (descr "Through hole straight socket strip, 1x07, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x07 2.54mm single row") + (path /60215342) + (fp_text reference J4 (at 0 19.685) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x07_Female (at -3.81 10.16 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 17) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 17) (end -1.8 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 16.57) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 16.51) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 16.51) (end -1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 7.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 7 thru_hole oval (at 0 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 64 Board_2-VCC)) + (pad 6 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 5 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 38 "Board_2-Net-(A1-Pad11)")) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 42 "Board_2-Net-(A1-Pad15)")) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 41 "Board_2-Net-(A1-Pad14)")) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 43 "Board_2-Net-(A1-Pad16)")) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 63 "Board_2-Net-(J4-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x07_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 60100711) + (at 110.15001 60.160001) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F00A2) + (fp_text reference R2 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 -1.905) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 37 "Board_2-Net-(A1-Pad10)")) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 62 "Board_2-Net-(D1-Pad3)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 601006FB) + (at 110.15001 62.700001) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F0580) + (fp_text reference R1 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 1.92) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 61 "Board_2-Net-(D1-Pad1)")) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 58 "Board_2-Net-(A1-Pad7)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LED_THT:LED_D5.0mm-4_RGB (layer F.Cu) (tedit 5B74EEBE) (tstamp 601006E7) + (at 98.72001 62.700001 90) + (descr "LED, diameter 5.0mm, 2 pins, diameter 5.0mm, 3 pins, diameter 5.0mm, 4 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf") + (tags "LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins diameter 5.0mm 4 pins RGB RGBLED") + (path /600F1EA5) + (fp_text reference D1 (at 1.905 -4.445 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LED_RCGB (at 1.905 3.96 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 1.905 0) (end 4.405 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.595 -1.469694) (end -0.595 1.469694) (layer F.Fab) (width 0.1)) + (fp_line (start -0.655 -1.545) (end -0.655 -1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.655 1.08) (end -0.655 1.545) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.35 -3.25) (end -1.35 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.35 3.25) (end 5.15 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.25) (end 5.15 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.25) (end -1.35 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 1.905 0) (end -0.349684 1.08) (angle -128.8) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.349684 -1.08) (angle 128.8) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.655 1.54483) (angle -127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.655 -1.54483) (angle 127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.595 -1.469694) (angle 299.1) (layer F.Fab) (width 0.1)) + (pad 4 thru_hole oval (at 3.81 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 2.54 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 62 "Board_2-Net-(D1-Pad3)")) + (pad 2 thru_hole oval (at 1.27 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 1 thru_hole rect (at 0 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 61 "Board_2-Net-(D1-Pad1)")) + (model ${KISYS3DMOD}/LED_THT.3dshapes/LED_D5.0mm-4_RGB.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Module:Arduino_Nano (layer F.Cu) (tedit 58ACAF70) (tstamp 601006AC) + (at 98.72001 70.320001) + (descr "Arduino Nano, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf") + (tags "Arduino Nano") + (path /600ED8F8) + (fp_text reference A1 (at 8.89 -5.08) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Arduino_Nano_v3.x (at 7.62 38.1 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.27 1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.27) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1.27) (end -1.4 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -3.94) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 16.64 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 13.97 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 36.83) (end 16.64 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end -1.4 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 36.83) (end -1.4 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.81 31.75) (end 11.43 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 31.75) (end 11.43 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 41.91) (end 3.81 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 41.91) (end 3.81 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start -1.4 39.5) (end 16.64 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.64 39.5) (end 16.64 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.64 -3.94) (end -1.4 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.51 39.37) (end -1.27 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 39.37) (end -1.27 -2.54) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -2.54) (end 0 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -3.81) (end 16.51 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 -3.81) (end 16.51 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start -1.53 -4.06) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.53 -4.06) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.75 42.16) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.75 42.16) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (pad 16 thru_hole oval (at 15.24 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 43 "Board_2-Net-(A1-Pad16)")) + (pad 15 thru_hole oval (at 0 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 42 "Board_2-Net-(A1-Pad15)")) + (pad 30 thru_hole oval (at 15.24 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 34 Board_2-+5V)) + (pad 14 thru_hole oval (at 0 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 41 "Board_2-Net-(A1-Pad14)")) + (pad 29 thru_hole oval (at 15.24 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 13 thru_hole oval (at 0 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 40 "Board_2-Net-(A1-Pad13)")) + (pad 28 thru_hole oval (at 15.24 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 54 "Board_2-Net-(A1-Pad28)")) + (pad 12 thru_hole oval (at 0 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 39 "Board_2-Net-(A1-Pad12)")) + (pad 27 thru_hole oval (at 15.24 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 64 Board_2-VCC)) + (pad 11 thru_hole oval (at 0 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 38 "Board_2-Net-(A1-Pad11)")) + (pad 26 thru_hole oval (at 15.24 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 53 "Board_2-Net-(A1-Pad26)")) + (pad 10 thru_hole oval (at 0 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 37 "Board_2-Net-(A1-Pad10)")) + (pad 25 thru_hole oval (at 15.24 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 52 "Board_2-Net-(A1-Pad25)")) + (pad 9 thru_hole oval (at 0 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 60 "Board_2-Net-(A1-Pad9)")) + (pad 24 thru_hole oval (at 15.24 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 51 "Board_2-Net-(A1-Pad24)")) + (pad 8 thru_hole oval (at 0 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 59 "Board_2-Net-(A1-Pad8)")) + (pad 23 thru_hole oval (at 15.24 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 50 "Board_2-Net-(A1-Pad23)")) + (pad 7 thru_hole oval (at 0 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 58 "Board_2-Net-(A1-Pad7)")) + (pad 22 thru_hole oval (at 15.24 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 49 "Board_2-Net-(A1-Pad22)")) + (pad 6 thru_hole oval (at 0 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 57 "Board_2-Net-(A1-Pad6)")) + (pad 21 thru_hole oval (at 15.24 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 48 "Board_2-Net-(A1-Pad21)")) + (pad 5 thru_hole oval (at 0 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 56 "Board_2-Net-(A1-Pad5)")) + (pad 20 thru_hole oval (at 15.24 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 47 "Board_2-Net-(A1-Pad20)")) + (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 35 Board_2-GND)) + (pad 19 thru_hole oval (at 15.24 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 45 "Board_2-Net-(A1-Pad19)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 55 "Board_2-Net-(A1-Pad3)")) + (pad 18 thru_hole oval (at 15.24 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 44 "Board_2-Net-(A1-Pad18)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 46 "Board_2-Net-(A1-Pad2)")) + (pad 17 thru_hole oval (at 15.24 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 33 Board_2-+3V3)) + (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 36 "Board_2-Net-(A1-Pad1)")) + (model ${KISYS3DMOD}/Module.3dshapes/Arduino_Nano_WithMountingHoles.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Zeusbadge:logo_small (layer F.Cu) (tedit 0) (tstamp 60100628) + (at 55.080001 116.548001) + (path /601E578C) + (fp_text reference U1 (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_text value CAN_MODULE (at 0 0) (layer F.SilkS) hide + (effects (font (size 1.27 1.27) (thickness 0.15))) + ) + (fp_poly (pts (xy 2.207666 -1.024057) (xy 2.273262 -1.013955) (xy 2.330212 -0.992493) (xy 2.3744 -0.968396) + (xy 2.501053 -0.8699) (xy 2.599025 -0.741854) (xy 2.645181 -0.645583) (xy 2.685961 -0.53975) + (xy 2.687064 -0.767292) (xy 2.688167 -0.994833) (xy 3.132667 -0.994833) (xy 3.132667 0.423333) + (xy 2.688167 0.423333) (xy 2.685961 -0.052917) (xy 2.645181 0.052917) (xy 2.57002 0.196383) + (xy 2.468504 0.309449) (xy 2.344269 0.389265) (xy 2.200952 0.432979) (xy 2.152866 0.438782) + (xy 2.004569 0.436976) (xy 1.876802 0.403809) (xy 1.758684 0.3363) (xy 1.747103 0.327637) + (xy 1.651 0.254279) (xy 1.651 0.9525) (xy 1.185819 0.9525) (xy 1.180284 0.115033) + (xy 1.177538 -0.300551) (xy 1.652692 -0.300551) (xy 1.660694 -0.187641) (xy 1.687052 -0.083604) + (xy 1.732023 0.00204) (xy 1.780419 0.050112) (xy 1.846255 0.075872) (xy 1.932137 0.083376) + (xy 2.019848 0.07247) (xy 2.075026 0.052558) (xy 2.13734 0.006643) (xy 2.179162 -0.059496) + (xy 2.203024 -0.152327) (xy 2.211455 -0.278317) (xy 2.211551 -0.296333) (xy 2.204834 -0.426827) + (xy 2.182997 -0.52337) (xy 2.14351 -0.59243) (xy 2.08384 -0.640473) (xy 2.075026 -0.645225) + (xy 1.989624 -0.671278) (xy 1.892808 -0.674331) (xy 1.806616 -0.653963) (xy 1.799167 -0.650491) + (xy 1.736286 -0.597303) (xy 1.690743 -0.514903) (xy 1.662794 -0.412812) (xy 1.652692 -0.300551) + (xy 1.177538 -0.300551) (xy 1.17475 -0.722434) (xy 1.026583 -0.154842) (xy 0.878417 0.41275) + (xy 0.383355 0.424656) (xy 0.362498 0.349117) (xy 0.350927 0.304331) (xy 0.331668 0.22658) + (xy 0.306727 0.124107) (xy 0.278113 0.005155) (xy 0.251575 -0.106244) (xy 0.222709 -0.225502) + (xy 0.196674 -0.328414) (xy 0.17514 -0.408754) (xy 0.159774 -0.460292) (xy 0.152249 -0.476805) + (xy 0.144668 -0.454408) (xy 0.128693 -0.396995) (xy 0.105929 -0.310689) (xy 0.077979 -0.201608) + (xy 0.046449 -0.075875) (xy 0.034452 -0.027397) (xy -0.074083 0.41275) (xy -0.321797 0.418705) + (xy -0.569511 0.424659) (xy -0.590367 0.344621) (xy -0.666863 0.050855) (xy -0.732925 -0.203265) + (xy -0.788885 -0.419031) (xy -0.835077 -0.59774) (xy -0.871834 -0.740684) (xy -0.899489 -0.849158) + (xy -0.918375 -0.924457) (xy -0.928824 -0.967875) (xy -0.931333 -0.980507) (xy -0.91163 -0.9864) + (xy -0.85836 -0.991117) (xy -0.780289 -0.99409) (xy -0.710078 -0.994833) (xy -0.488823 -0.994833) + (xy -0.470222 -0.926042) (xy -0.459474 -0.88389) (xy -0.440884 -0.808447) (xy -0.41637 -0.707614) + (xy -0.387856 -0.589292) (xy -0.358424 -0.466258) (xy -0.265227 -0.075266) (xy -0.167992 -0.476841) + (xy -0.136895 -0.605159) (xy -0.108363 -0.722693) (xy -0.08422 -0.821947) (xy -0.066288 -0.895423) + (xy -0.056392 -0.935624) (xy -0.056142 -0.936625) (xy -0.041527 -0.994833) (xy 0.352246 -0.994833) + (xy 0.45534 -0.560917) (xy 0.486502 -0.432165) (xy 0.515084 -0.318599) (xy 0.539481 -0.226237) + (xy 0.558086 -0.161099) (xy 0.569293 -0.129204) (xy 0.571093 -0.127) (xy 0.579524 -0.146617) + (xy 0.595904 -0.201456) (xy 0.618624 -0.285499) (xy 0.646075 -0.392728) (xy 0.676647 -0.517126) + (xy 0.687146 -0.560917) (xy 0.790538 -0.994834) (xy 1.220769 -0.994833) (xy 1.651 -0.994833) + (xy 1.651 -0.846946) (xy 1.747878 -0.920895) (xy 1.817895 -0.970026) (xy 1.881399 -1.001301) + (xy 1.951775 -1.018557) (xy 2.042408 -1.02563) (xy 2.116667 -1.026583) (xy 2.207666 -1.024057)) (layer F.SilkS) (width 0.01)) + (fp_poly (pts (xy -1.016 -3.288689) (xy -1.142003 -3.138997) (xy -1.268007 -2.989305) (xy -1.182104 -3.030809) + (xy -1.025377 -3.087386) (xy -0.846598 -3.120172) (xy -0.657547 -3.129238) (xy -0.470004 -3.114655) + (xy -0.295752 -3.076497) (xy -0.164929 -3.024586) (xy -0.067915 -2.961689) (xy 0.032277 -2.874577) + (xy 0.12265 -2.776208) (xy 0.190203 -2.679541) (xy 0.200396 -2.660424) (xy 0.254 -2.552855) + (xy 0.254 -3.069167) (xy 0.881518 -3.069167) (xy 0.880072 -2.450042) (xy 0.879921 -2.267152) + (xy 0.880578 -2.121569) (xy 0.882289 -2.008269) (xy 0.885296 -1.922228) (xy 0.889844 -1.858422) + (xy 0.896176 -1.811829) (xy 0.904535 -1.777425) (xy 0.913896 -1.752948) (xy 0.971152 -1.672643) + (xy 1.054138 -1.625167) (xy 1.16035 -1.611805) (xy 1.185619 -1.613478) (xy 1.28122 -1.634538) + (xy 1.357754 -1.681383) (xy 1.424353 -1.760687) (xy 1.45408 -1.80975) (xy 1.513417 -1.915583) + (xy 1.527029 -3.069167) (xy 2.137833 -3.069167) (xy 2.137833 -2.72153) (xy 2.185458 -2.815669) + (xy 2.258964 -2.9177) (xy 2.365182 -3.005012) (xy 2.495233 -3.070792) (xy 2.536114 -3.084859) + (xy 2.623269 -3.102769) (xy 2.742311 -3.114551) (xy 2.883255 -3.120301) (xy 3.036115 -3.120112) + (xy 3.190905 -3.114079) (xy 3.337639 -3.102295) (xy 3.46633 -3.084856) (xy 3.513667 -3.075635) + (xy 3.693583 -3.036259) (xy 3.705475 -2.544021) (xy 3.550865 -2.604056) (xy 3.326485 -2.672813) + (xy 3.104529 -2.705117) (xy 2.963429 -2.705744) (xy 2.877009 -2.697913) (xy 2.819472 -2.683696) + (xy 2.777438 -2.659152) (xy 2.763281 -2.646802) (xy 2.719319 -2.584497) (xy 2.711743 -2.519641) + (xy 2.726274 -2.482613) (xy 2.766383 -2.450155) (xy 2.838853 -2.419933) (xy 2.933728 -2.395388) + (xy 3.01625 -2.382466) (xy 3.156457 -2.361403) (xy 3.299459 -2.331076) (xy 3.433035 -2.294693) + (xy 3.544964 -2.255458) (xy 3.60293 -2.228539) (xy 3.704631 -2.153435) (xy 3.758668 -2.084122) + (xy 3.781923 -2.040048) (xy 3.796927 -1.996041) (xy 3.805436 -1.941265) (xy 3.809206 -1.864882) + (xy 3.81 -1.767417) (xy 3.809025 -1.662024) (xy 3.804921 -1.588087) (xy 3.795919 -1.534747) + (xy 3.780252 -1.491148) (xy 3.758318 -1.450115) (xy 3.674898 -1.349053) (xy 3.556203 -1.268239) + (xy 3.404468 -1.208296) (xy 3.221927 -1.169846) (xy 3.010815 -1.153512) (xy 2.773365 -1.159916) + (xy 2.729504 -1.163401) (xy 2.612096 -1.17531) (xy 2.49223 -1.190343) (xy 2.386424 -1.206294) + (xy 2.329159 -1.21691) (xy 2.254258 -1.23242) (xy 2.19722 -1.243771) (xy 2.169123 -1.248766) + (xy 2.168199 -1.248833) (xy 2.164593 -1.268613) (xy 2.16165 -1.322407) (xy 2.159682 -1.401899) + (xy 2.159 -1.494515) (xy 2.159483 -1.59945) (xy 2.161637 -1.668627) (xy 2.166519 -1.708619) + (xy 2.175187 -1.725996) (xy 2.188698 -1.727331) (xy 2.196042 -1.7247) (xy 2.366911 -1.663036) + (xy 2.546916 -1.615359) (xy 2.72448 -1.583779) (xy 2.888025 -1.570405) (xy 3.013854 -1.575702) + (xy 3.11662 -1.599827) (xy 3.193226 -1.6406) (xy 3.239488 -1.693512) (xy 3.251217 -1.754055) + (xy 3.230422 -1.808898) (xy 3.205257 -1.838257) (xy 3.168347 -1.862212) (xy 3.113195 -1.882828) + (xy 3.0333 -1.902173) (xy 2.922163 -1.922312) (xy 2.826401 -1.937369) (xy 2.636219 -1.972919) + (xy 2.483188 -2.017374) (xy 2.362634 -2.073083) (xy 2.269885 -2.142399) (xy 2.200269 -2.227675) + (xy 2.179975 -2.262724) (xy 2.139704 -2.338917) (xy 2.138769 -1.762125) (xy 2.137833 -1.185333) + (xy 1.524 -1.185333) (xy 1.524 -1.453244) (xy 1.425698 -1.359054) (xy 1.292809 -1.254409) + (xy 1.150557 -1.18894) (xy 0.99134 -1.159524) (xy 0.93419 -1.157201) (xy 0.761957 -1.169408) + (xy 0.618339 -1.21056) (xy 0.500057 -1.281763) (xy 0.460985 -1.317015) (xy 0.386955 -1.405718) + (xy 0.332771 -1.507635) (xy 0.294623 -1.632148) (xy 0.268702 -1.788638) (xy 0.268388 -1.791268) + (xy 0.2448 -1.989667) (xy -0.41735 -1.989667) (xy -0.608043 -1.989812) (xy -0.760238 -1.989585) + (xy -0.877765 -1.987996) (xy -0.964456 -1.984052) (xy -1.024141 -1.976762) (xy -1.060652 -1.965135) + (xy -1.077818 -1.948179) (xy -1.079471 -1.924903) (xy -1.069441 -1.894314) (xy -1.051559 -1.855422) + (xy -1.039127 -1.828731) (xy -0.969825 -1.719242) (xy -0.873815 -1.640901) (xy -0.763574 -1.594829) + (xy -0.629055 -1.573158) (xy -0.471256 -1.577406) (xy -0.299116 -1.606033) (xy -0.121578 -1.657497) + (xy 0.05242 -1.73026) (xy 0.068188 -1.738097) (xy 0.132329 -1.769626) (xy 0.180289 -1.791683) + (xy 0.20048 -1.799167) (xy 0.204892 -1.779397) (xy 0.208484 -1.725667) (xy 0.210869 -1.646349) + (xy 0.211667 -1.556671) (xy 0.211667 -1.314175) (xy 0.04669 -1.262059) (xy -0.054642 -1.232446) + (xy -0.162556 -1.204646) (xy -0.253911 -1.184615) (xy -0.254935 -1.184423) (xy -0.349356 -1.171573) + (xy -0.466152 -1.162669) (xy -0.592323 -1.15798) (xy -0.714868 -1.157772) (xy -0.820786 -1.162312) + (xy -0.894292 -1.17129) (xy -0.973667 -1.187252) (xy -0.973667 -0.592667) (xy -3.788833 -0.592667) + (xy -3.788619 -0.851958) (xy -3.788404 -1.11125) (xy -3.691228 -1.227667) (xy -2.753326 -1.227667) + (xy -1.942871 -1.227684) (xy -1.132417 -1.2277) (xy -1.222755 -1.272417) (xy -1.367732 -1.366825) + (xy -1.492981 -1.493678) (xy -1.592451 -1.645357) (xy -1.660092 -1.814243) (xy -1.663808 -1.827746) + (xy -1.678724 -1.912308) (xy -1.688158 -2.024014) (xy -1.691884 -2.148001) (xy -1.689677 -2.269407) + (xy -1.68153 -2.370667) (xy -1.076545 -2.370667) (xy -0.300792 -2.370667) (xy -0.318324 -2.448404) + (xy -0.36246 -2.557083) (xy -0.437382 -2.63888) (xy -0.538762 -2.690555) (xy -0.662271 -2.708869) + (xy -0.66642 -2.708869) (xy -0.798212 -2.690354) (xy -0.91018 -2.638495) (xy -0.99706 -2.556982) + (xy -1.053588 -2.449505) (xy -1.058358 -2.434082) (xy -1.076545 -2.370667) (xy -1.68153 -2.370667) + (xy -1.681312 -2.37337) (xy -1.672832 -2.422989) (xy -1.661944 -2.476782) (xy -1.658356 -2.509334) + (xy -1.659172 -2.512894) (xy -1.673809 -2.4991) (xy -1.711713 -2.457234) (xy -1.769026 -2.391729) + (xy -1.841895 -2.307017) (xy -1.926463 -2.207531) (xy -1.980794 -2.143095) (xy -2.08913 -2.014314) + (xy -2.205237 -1.876443) (xy -2.320634 -1.739541) (xy -2.426845 -1.613664) (xy -2.515391 -1.508871) + (xy -2.524955 -1.497565) (xy -2.753326 -1.227667) (xy -3.691228 -1.227667) (xy -2.940318 -2.12725) + (xy -2.092231 -3.14325) (xy -2.929949 -3.148785) (xy -3.767667 -3.154319) (xy -3.767667 -3.788833) + (xy -1.016 -3.788833) (xy -1.016 -3.288689)) (layer F.SilkS) (width 0.01)) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A420) (tstamp 60100613) + (at 79.210001 114.770001 270) + (descr "Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x02 2.54mm single row") + (path /601B1E80) + (fp_text reference J3 (at 1.524 -3.048 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x02_Female (at 0 5.31 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.27) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 Board_1-+5V)) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x02_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 601005F1) + (at 83.020001 105.880001 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /600FC1CE) + (fp_text reference J2 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 Board_1-+5V)) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 22 "Board_1-Net-(A1-Pad28)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 32 Board_1-VCC)) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 21 "Board_1-Net-(A1-Pad26)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 20 "Board_1-Net-(A1-Pad25)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 19 "Board_1-Net-(A1-Pad24)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 18 "Board_1-Net-(A1-Pad23)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 17 "Board_1-Net-(A1-Pad22)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 16 "Board_1-Net-(A1-Pad21)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 15 "Board_1-Net-(A1-Pad20)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 "Board_1-Net-(A1-Pad19)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 "Board_1-Net-(A1-Pad18)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 Board_1-+3V3)) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "Board_1-Net-(A1-Pad16)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x15_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A41D) (tstamp 601005CF) + (at 52.540001 105.880001 180) + (descr "Through hole straight socket strip, 1x15, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x15 2.54mm single row") + (path /601001CE) + (fp_text reference J1 (at 0 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x15_Female (at 0 38.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 37.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 37.3) (end -1.8 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 37.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 36.89) (end 1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 36.89) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 36.83) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 36.83) (end -1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 36.83) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 17.78 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 "Board_1-Net-(A1-Pad15)")) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 "Board_1-Net-(A1-Pad14)")) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 8 "Board_1-Net-(A1-Pad13)")) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 7 "Board_1-Net-(A1-Pad12)")) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 "Board_1-Net-(A1-Pad11)")) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 "Board_1-Net-(A1-Pad10)")) + (pad 7 thru_hole oval (at 0 15.24 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 28 "Board_1-Net-(A1-Pad9)")) + (pad 8 thru_hole oval (at 0 17.78 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 27 "Board_1-Net-(A1-Pad8)")) + (pad 9 thru_hole oval (at 0 20.32 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 "Board_1-Net-(A1-Pad7)")) + (pad 10 thru_hole oval (at 0 22.86 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 "Board_1-Net-(A1-Pad6)")) + (pad 11 thru_hole oval (at 0 25.4 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 24 "Board_1-Net-(A1-Pad5)")) + (pad 12 thru_hole oval (at 0 27.94 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 13 thru_hole oval (at 0 30.48 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 23 "Board_1-Net-(A1-Pad3)")) + (pad 14 thru_hole oval (at 0 33.02 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 "Board_1-Net-(A1-Pad2)")) + (pad 15 thru_hole oval (at 0 35.56 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "Board_1-Net-(A1-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x15_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Jumper:SolderJumper-2_P1.3mm_Open_TrianglePad1.0x1.5mm (layer F.Cu) (tedit 5A64794F) (tstamp 601005C2) + (at 79.935001 52.540001 180) + (descr "SMD Solder Jumper, 1x1.5mm Triangular Pads, 0.3mm gap, open") + (tags "solder jumper open") + (path /602581FC) + (attr virtual) + (fp_text reference JP1 (at 0 -2.54) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Jumper_NO_Small (at -4.355 3.81) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.65 1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end -1.65 1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -1.25) (end 1.65 -1.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.4 -1) (end 1.4 -1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 -1) (end 1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.4 1) (end -1.4 1) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1) (end -1.4 -1) (layer F.SilkS) (width 0.12)) + (pad 1 smd custom (at -0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 24 "Board_1-Net-(A1-Pad5)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.5 -0.75) (xy 0.5 -0.75) (xy 1 0) (xy 0.5 0.75) (xy -0.5 0.75) +) (width 0)) + )) + (pad 2 smd custom (at 0.725 0 180) (size 0.3 0.3) (layers F.Cu F.Mask) + (net 31 "Board_1-Net-(J4-Pad1)") (zone_connect 2) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly (pts + (xy -0.65 -0.75) (xy 0.5 -0.75) (xy 0.5 0.75) (xy -0.65 0.75) (xy -0.15 0) +) (width 0)) + )) + ) + + (module Connector_PinSocket_2.54mm:PinSocket_1x07_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A433) (tstamp 601005A8) + (at 75.400001 52.540001 270) + (descr "Through hole straight socket strip, 1x07, 2.54mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x07 2.54mm single row") + (path /60215342) + (fp_text reference J4 (at 0 19.685) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Conn_01x07_Female (at -3.81 10.16 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.8 17) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 17) (end -1.8 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.75 -1.8) (end 1.75 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 16.57) (end 1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 16.51) (end -1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 16.51) (end -1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.635) (end 1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 7.62) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 7 thru_hole oval (at 0 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 32 Board_1-VCC)) + (pad 6 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 5 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 "Board_1-Net-(A1-Pad11)")) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 "Board_1-Net-(A1-Pad15)")) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 "Board_1-Net-(A1-Pad14)")) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 "Board_1-Net-(A1-Pad16)")) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 31 "Board_1-Net-(J4-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x07_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 60100592) + (at 71.590001 60.160001) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F00A2) + (fp_text reference R2 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 -1.905) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 5 "Board_1-Net-(A1-Pad10)")) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 30 "Board_1-Net-(D1-Pad3)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_THT:R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal (layer F.Cu) (tedit 5AE5139B) (tstamp 6010057C) + (at 71.590001 62.700001) + (descr "Resistor, Axial_DIN0204 series, Axial, Horizontal, pin pitch=7.62mm, 0.167W, length*diameter=3.6*1.6mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0204 series Axial Horizontal pin pitch 7.62mm 0.167W length 3.6mm diameter 1.6mm") + (path /600F0580) + (fp_text reference R1 (at 3.81 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 330 (at 3.81 1.92) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 8.57 -1.05) (end -0.95 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 8.57 1.05) (end 8.57 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 1.05) (end 8.57 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.95 -1.05) (end -0.95 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.68 0) (end 5.73 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.94 0) (end 1.89 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 -0.92) (end 1.89 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 5.73 0.92) (end 5.73 -0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 0.92) (end 5.73 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.89 -0.92) (end 1.89 0.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 7.62 0) (end 5.61 0) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 2.01 0) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 -0.8) (end 2.01 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 5.61 0.8) (end 5.61 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 0.8) (end 5.61 0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 2.01 -0.8) (end 2.01 0.8) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 3.81 0) (layer F.Fab) + (effects (font (size 0.72 0.72) (thickness 0.108))) + ) + (pad 1 thru_hole circle (at 0 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 29 "Board_1-Net-(D1-Pad1)")) + (pad 2 thru_hole oval (at 7.62 0) (size 1.4 1.4) (drill 0.7) (layers *.Cu *.Mask) + (net 26 "Board_1-Net-(A1-Pad7)")) + (model ${KISYS3DMOD}/Resistor_THT.3dshapes/R_Axial_DIN0204_L3.6mm_D1.6mm_P7.62mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module LED_THT:LED_D5.0mm-4_RGB (layer F.Cu) (tedit 5B74EEBE) (tstamp 60100568) + (at 60.160001 62.700001 90) + (descr "LED, diameter 5.0mm, 2 pins, diameter 5.0mm, 3 pins, diameter 5.0mm, 4 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-154A4SUREQBFZGEW(Ver.9A).pdf") + (tags "LED diameter 5.0mm 2 pins diameter 5.0mm 3 pins diameter 5.0mm 4 pins RGB RGBLED") + (path /600F1EA5) + (fp_text reference D1 (at 1.905 -4.445 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LED_RCGB (at 1.905 3.96 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 1.905 0) (end 4.405 0) (layer F.Fab) (width 0.1)) + (fp_line (start -0.595 -1.469694) (end -0.595 1.469694) (layer F.Fab) (width 0.1)) + (fp_line (start -0.655 -1.545) (end -0.655 -1.08) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.655 1.08) (end -0.655 1.545) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.35 -3.25) (end -1.35 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.35 3.25) (end 5.15 3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 3.25) (end 5.15 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.15 -3.25) (end -1.35 -3.25) (layer F.CrtYd) (width 0.05)) + (fp_arc (start 1.905 0) (end -0.349684 1.08) (angle -128.8) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.349684 -1.08) (angle 128.8) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.655 1.54483) (angle -127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.655 -1.54483) (angle 127.7) (layer F.SilkS) (width 0.12)) + (fp_arc (start 1.905 0) (end -0.595 -1.469694) (angle 299.1) (layer F.Fab) (width 0.1)) + (pad 4 thru_hole oval (at 3.81 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 2.54 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 30 "Board_1-Net-(D1-Pad3)")) + (pad 2 thru_hole oval (at 1.27 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 1 thru_hole rect (at 0 0 90) (size 1.07 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 29 "Board_1-Net-(D1-Pad1)")) + (model ${KISYS3DMOD}/LED_THT.3dshapes/LED_D5.0mm-4_RGB.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Module:Arduino_Nano (layer F.Cu) (tedit 58ACAF70) (tstamp 6010052D) + (at 60.160001 70.320001) + (descr "Arduino Nano, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf") + (tags "Arduino Nano") + (path /600ED8F8) + (fp_text reference A1 (at 8.89 -5.08) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Arduino_Nano_v3.x (at 7.62 38.1 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.27 1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.27) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 1.27) (end -1.4 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.4 -3.94) (end -1.4 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 16.64 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 -1.27) (end 13.97 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 13.97 36.83) (end 16.64 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end -1.4 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 36.83) (end -1.4 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.81 31.75) (end 11.43 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 31.75) (end 11.43 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 11.43 41.91) (end 3.81 41.91) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 41.91) (end 3.81 31.75) (layer F.Fab) (width 0.1)) + (fp_line (start -1.4 39.5) (end 16.64 39.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.64 39.5) (end 16.64 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.64 -3.94) (end -1.4 -3.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.51 39.37) (end -1.27 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 39.37) (end -1.27 -2.54) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -2.54) (end 0 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -3.81) (end 16.51 -3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 -3.81) (end 16.51 39.37) (layer F.Fab) (width 0.1)) + (fp_line (start -1.53 -4.06) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.53 -4.06) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.75 42.16) (end 16.75 -4.06) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.75 42.16) (end -1.53 42.16) (layer F.CrtYd) (width 0.05)) + (pad 16 thru_hole oval (at 15.24 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 11 "Board_1-Net-(A1-Pad16)")) + (pad 15 thru_hole oval (at 0 35.56) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 10 "Board_1-Net-(A1-Pad15)")) + (pad 30 thru_hole oval (at 15.24 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 2 Board_1-+5V)) + (pad 14 thru_hole oval (at 0 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 9 "Board_1-Net-(A1-Pad14)")) + (pad 29 thru_hole oval (at 15.24 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 13 thru_hole oval (at 0 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 8 "Board_1-Net-(A1-Pad13)")) + (pad 28 thru_hole oval (at 15.24 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 22 "Board_1-Net-(A1-Pad28)")) + (pad 12 thru_hole oval (at 0 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 7 "Board_1-Net-(A1-Pad12)")) + (pad 27 thru_hole oval (at 15.24 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 32 Board_1-VCC)) + (pad 11 thru_hole oval (at 0 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 6 "Board_1-Net-(A1-Pad11)")) + (pad 26 thru_hole oval (at 15.24 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 21 "Board_1-Net-(A1-Pad26)")) + (pad 10 thru_hole oval (at 0 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 5 "Board_1-Net-(A1-Pad10)")) + (pad 25 thru_hole oval (at 15.24 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 20 "Board_1-Net-(A1-Pad25)")) + (pad 9 thru_hole oval (at 0 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 28 "Board_1-Net-(A1-Pad9)")) + (pad 24 thru_hole oval (at 15.24 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 19 "Board_1-Net-(A1-Pad24)")) + (pad 8 thru_hole oval (at 0 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 27 "Board_1-Net-(A1-Pad8)")) + (pad 23 thru_hole oval (at 15.24 17.78) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 18 "Board_1-Net-(A1-Pad23)")) + (pad 7 thru_hole oval (at 0 15.24) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 26 "Board_1-Net-(A1-Pad7)")) + (pad 22 thru_hole oval (at 15.24 20.32) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 17 "Board_1-Net-(A1-Pad22)")) + (pad 6 thru_hole oval (at 0 12.7) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 25 "Board_1-Net-(A1-Pad6)")) + (pad 21 thru_hole oval (at 15.24 22.86) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 16 "Board_1-Net-(A1-Pad21)")) + (pad 5 thru_hole oval (at 0 10.16) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 24 "Board_1-Net-(A1-Pad5)")) + (pad 20 thru_hole oval (at 15.24 25.4) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 15 "Board_1-Net-(A1-Pad20)")) + (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 3 Board_1-GND)) + (pad 19 thru_hole oval (at 15.24 27.94) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 13 "Board_1-Net-(A1-Pad19)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 23 "Board_1-Net-(A1-Pad3)")) + (pad 18 thru_hole oval (at 15.24 30.48) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 12 "Board_1-Net-(A1-Pad18)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 14 "Board_1-Net-(A1-Pad2)")) + (pad 17 thru_hole oval (at 15.24 33.02) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 1 Board_1-+3V3)) + (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 1) (layers *.Cu *.Mask) + (net 4 "Board_1-Net-(A1-Pad1)")) + (model ${KISYS3DMOD}/Module.3dshapes/Arduino_Nano_WithMountingHoles.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text JLCJLCJLCJLC (at 86.995 84.455 90) (layer F.SilkS) + (effects (font (size 0.85 0.85) (thickness 0.15))) + ) + (gr_line (start 87.5688 79.289) (end 86.5512 79.289) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.666 79.2842) (end 87.5688 79.289) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.7621 79.27) (end 87.666 79.2842) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.8564 79.2464) (end 87.7621 79.27) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.9479 79.2136) (end 87.8564 79.2464) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.0357 79.1721) (end 87.9479 79.2136) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.119 79.1221) (end 88.0357 79.1721) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.197 79.0643) (end 88.119 79.1221) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.269 78.999) (end 88.197 79.0643) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.3343 78.927) (end 88.269 78.999) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.3922 78.849) (end 88.3343 78.927) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.4421 78.7657) (end 88.3922 78.849) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.4836 78.6779) (end 88.4421 78.7657) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5164 78.5864) (end 88.4836 78.6779) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.54 78.4921) (end 88.5164 78.5864) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5542 78.396) (end 88.54 78.4921) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.559 78.2988) (end 88.5542 78.396) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5591 52.5398) (end 88.559 78.2988) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5733 52.2741) (end 88.5591 52.5398) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.6149 52.0115) (end 88.5733 52.2741) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.6838 51.7546) (end 88.6149 52.0115) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.774 51.5188) (end 88.6838 51.7546) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.7847 51.4948) (end 88.774 51.5188) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.8935 51.2812) (end 88.7847 51.4948) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.9066 51.2585) (end 88.8935 51.2812) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.0444 51.0468) (end 88.9066 51.2585) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.2034 50.85) (end 89.0444 51.0468) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.221 50.8304) (end 89.2034 50.85) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.3998 50.6521) (end 89.221 50.8304) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.5964 50.4924) (end 89.3998 50.6521) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.6175 50.4771) (end 89.5964 50.4924) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.8185 50.3466) (end 89.6175 50.4771) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.8412 50.3335) (end 89.8185 50.3466) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.0669 50.2189) (end 89.8412 50.3335) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.3147 50.1238) (end 90.0669 50.2189) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.559 50.0579) (end 90.3147 50.1238) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.5847 50.0525) (end 90.559 50.0579) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.8347 50.0132) (end 90.5847 50.0525) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 91.1001 49.9991) (end 90.8347 50.0132) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 121.5736 49.999) (end 91.1001 49.9991) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 121.8453 50.0132) (end 121.5736 49.999) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.108 50.0548) (end 121.8453 50.0132) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.3649 50.1236) (end 122.108 50.0548) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.6132 50.2189) (end 122.3649 50.1236) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.8501 50.3396) (end 122.6132 50.2189) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.0732 50.4844) (end 122.8501 50.3396) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.2799 50.6518) (end 123.0732 50.4844) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.4592 50.8306) (end 123.2799 50.6518) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.4766 50.85) (end 123.4592 50.8306) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.6353 51.0465) (end 123.4766 50.85) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.7734 51.2585) (end 123.6353 51.0465) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.7865 51.2812) (end 123.7734 51.2585) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.8954 51.4947) (end 123.7865 51.2812) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.906 51.5188) (end 123.8954 51.4947) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.9964 51.7552) (end 123.906 51.5188) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.0652 52.0121) (end 123.9964 51.7552) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.1068 52.2747) (end 124.0652 52.0121) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.121 52.5464) (end 124.1068 52.2747) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.121 116.0334) (end 124.121 52.5464) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.1068 116.3053) (end 124.121 116.0334) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 124.0652 116.568) (end 124.1068 116.3053) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.9964 116.8249) (end 124.0652 116.568) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.9011 117.0732) (end 123.9964 116.8249) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.7804 117.3101) (end 123.9011 117.0732) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.6356 117.5332) (end 123.7804 117.3101) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.4682 117.7399) (end 123.6356 117.5332) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.2894 117.9192) (end 123.4682 117.7399) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.2701 117.9366) (end 123.2894 117.9192) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 123.0736 118.0953) (end 123.2701 117.9366) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.8616 118.2334) (end 123.0736 118.0953) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.8388 118.2465) (end 122.8616 118.2334) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.6253 118.3553) (end 122.8388 118.2465) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.6013 118.366) (end 122.6253 118.3553) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.3648 118.4564) (end 122.6013 118.366) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 122.108 118.5252) (end 122.3648 118.4564) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 121.8453 118.5668) (end 122.108 118.5252) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 121.5735 118.581) (end 121.8453 118.5668) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 91.1001 118.5809) (end 121.5735 118.581) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.8347 118.5668) (end 91.1001 118.5809) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.5847 118.5275) (end 90.8347 118.5668) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.559 118.5221) (end 90.5847 118.5275) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.3146 118.4562) (end 90.559 118.5221) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 90.0668 118.3611) (end 90.3146 118.4562) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.8412 118.2466) (end 90.0668 118.3611) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.8185 118.2334) (end 89.8412 118.2466) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.6175 118.1029) (end 89.8185 118.2334) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.5962 118.0874) (end 89.6175 118.1029) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.3998 117.9279) (end 89.5962 118.0874) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.221 117.7496) (end 89.3998 117.9279) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.2034 117.73) (end 89.221 117.7496) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.0526 117.5438) (end 89.2034 117.73) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 89.0371 117.5225) (end 89.0526 117.5438) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.8999 117.3105) (end 89.0371 117.5225) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.7847 117.0853) (end 88.8999 117.3105) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.774 117.0612) (end 88.7847 117.0853) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.6838 116.8253) (end 88.774 117.0612) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.6149 116.5685) (end 88.6838 116.8253) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5733 116.3058) (end 88.6149 116.5685) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5591 116.0401) (end 88.5733 116.3058) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.559 90.2812) (end 88.5591 116.0401) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5542 90.184) (end 88.559 90.2812) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.54 90.0879) (end 88.5542 90.184) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.5164 89.9936) (end 88.54 90.0879) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.4836 89.9022) (end 88.5164 89.9936) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.4421 89.8143) (end 88.4836 89.9022) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.3921 89.731) (end 88.4421 89.8143) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.3343 89.653) (end 88.3921 89.731) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.269 89.581) (end 88.3343 89.653) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.197 89.5157) (end 88.269 89.581) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.119 89.4579) (end 88.197 89.5157) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 88.0357 89.4079) (end 88.119 89.4579) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.9479 89.3664) (end 88.0357 89.4079) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.8564 89.3336) (end 87.9479 89.3664) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.7621 89.31) (end 87.8564 89.3336) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.666 89.2958) (end 87.7621 89.31) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 87.5688 89.291) (end 87.666 89.2958) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.5512 89.291) (end 87.5688 89.291) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.454 89.2958) (end 86.5512 89.291) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.3579 89.31) (end 86.454 89.2958) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.2636 89.3336) (end 86.3579 89.31) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.1721 89.3664) (end 86.2636 89.3336) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.0843 89.4079) (end 86.1721 89.3664) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.001 89.4579) (end 86.0843 89.4079) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.923 89.5157) (end 86.001 89.4579) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.851 89.581) (end 85.923 89.5157) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.7857 89.653) (end 85.851 89.581) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.7279 89.731) (end 85.7857 89.653) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6779 89.8143) (end 85.7279 89.731) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6364 89.9022) (end 85.6779 89.8143) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6036 89.9936) (end 85.6364 89.9022) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.58 90.0879) (end 85.6036 89.9936) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5658 90.184) (end 85.58 90.0879) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.561 90.2812) (end 85.5658 90.184) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5609 116.0402) (end 85.561 90.2812) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5467 116.3059) (end 85.5609 116.0402) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5051 116.5685) (end 85.5467 116.3059) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.4362 116.8254) (end 85.5051 116.5685) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.346 117.0612) (end 85.4362 116.8254) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.3354 117.0852) (end 85.346 117.0612) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.2266 117.2988) (end 85.3354 117.0852) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.2134 117.3215) (end 85.2266 117.2988) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.0756 117.5332) (end 85.2134 117.3215) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.9166 117.73) (end 85.0756 117.5332) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.899 117.7496) (end 84.9166 117.73) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.7202 117.9279) (end 84.899 117.7496) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.5236 118.0876) (end 84.7202 117.9279) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.5025 118.1029) (end 84.5236 118.0876) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.3015 118.2334) (end 84.5025 118.1029) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.2788 118.2465) (end 84.3015 118.2334) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.0531 118.3611) (end 84.2788 118.2465) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.8053 118.4562) (end 84.0531 118.3611) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.561 118.5221) (end 83.8053 118.4562) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.5353 118.5275) (end 83.561 118.5221) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.2853 118.5668) (end 83.5353 118.5275) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.0199 118.5809) (end 83.2853 118.5668) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.5464 118.581) (end 83.0199 118.5809) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.2747 118.5668) (end 52.5464 118.581) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.012 118.5252) (end 52.2747 118.5668) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.7551 118.4564) (end 52.012 118.5252) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.5068 118.3611) (end 51.7551 118.4564) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.2699 118.2404) (end 51.5068 118.3611) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.0468 118.0956) (end 51.2699 118.2404) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.8401 117.9282) (end 51.0468 118.0956) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.6608 117.7494) (end 50.8401 117.9282) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.6434 117.73) (end 50.6608 117.7494) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.4847 117.5335) (end 50.6434 117.73) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.3466 117.3215) (end 50.4847 117.5335) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.3335 117.2988) (end 50.3466 117.3215) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.2247 117.0853) (end 50.3335 117.2988) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.214 117.0612) (end 50.2247 117.0853) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.1236 116.8248) (end 50.214 117.0612) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.0548 116.568) (end 50.1236 116.8248) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.0132 116.3053) (end 50.0548 116.568) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 49.999 116.0335) (end 50.0132 116.3053) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 49.999 52.5464) (end 49.999 116.0335) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.0132 52.2747) (end 49.999 52.5464) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.0525 52.0247) (end 50.0132 52.2747) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.0579 51.999) (end 50.0525 52.0247) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.12 51.7675) (end 50.0579 51.999) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.1281 51.7425) (end 50.12 51.7675) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.2191 51.5064) (end 50.1281 51.7425) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.3399 51.2694) (end 50.2191 51.5064) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.4847 51.0464) (end 50.3399 51.2694) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.6521 50.8398) (end 50.4847 51.0464) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 50.8402 50.6517) (end 50.6521 50.8398) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.0469 50.4844) (end 50.8402 50.6517) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.2699 50.3396) (end 51.0469 50.4844) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.5069 50.2189) (end 51.2699 50.3396) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 51.7552 50.1236) (end 51.5069 50.2189) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.0121 50.0548) (end 51.7552 50.1236) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.2747 50.0132) (end 52.0121 50.0548) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 52.5464 49.999) (end 52.2747 50.0132) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.0199 49.9991) (end 52.5464 49.999) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.2853 50.0132) (end 83.0199 49.9991) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.5353 50.0525) (end 83.2853 50.0132) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.561 50.0579) (end 83.5353 50.0525) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 83.8054 50.1238) (end 83.561 50.0579) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.0532 50.2189) (end 83.8054 50.1238) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.2788 50.3335) (end 84.0532 50.2189) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.3015 50.3466) (end 84.2788 50.3335) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.5025 50.4771) (end 84.3015 50.3466) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.5238 50.4926) (end 84.5025 50.4771) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.7202 50.6521) (end 84.5238 50.4926) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.899 50.8304) (end 84.7202 50.6521) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 84.9166 50.85) (end 84.899 50.8304) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.0674 51.0362) (end 84.9166 50.85) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.0829 51.0575) (end 85.0674 51.0362) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.2202 51.2695) (end 85.0829 51.0575) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.3353 51.4947) (end 85.2202 51.2695) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.346 51.5188) (end 85.3353 51.4947) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.4362 51.7547) (end 85.346 51.5188) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5051 52.0115) (end 85.4362 51.7547) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5467 52.2742) (end 85.5051 52.0115) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5609 52.5399) (end 85.5467 52.2742) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.561 78.2988) (end 85.5609 52.5399) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.5658 78.396) (end 85.561 78.2988) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.58 78.4921) (end 85.5658 78.396) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6036 78.5864) (end 85.58 78.4921) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6364 78.6779) (end 85.6036 78.5864) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.6779 78.7657) (end 85.6364 78.6779) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.7279 78.849) (end 85.6779 78.7657) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.7857 78.927) (end 85.7279 78.849) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.851 78.999) (end 85.7857 78.927) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 85.923 79.0643) (end 85.851 78.999) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.001 79.1221) (end 85.923 79.0643) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.0843 79.1721) (end 86.001 79.1221) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.1721 79.2136) (end 86.0843 79.1721) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.2636 79.2464) (end 86.1721 79.2136) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.3579 79.27) (end 86.2636 79.2464) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.454 79.2842) (end 86.3579 79.27) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 86.5512 79.289) (end 86.454 79.2842) (layer Edge.Cuts) (width 0.1)) + (gr_text VIN (at 117.77001 70.320001) (layer F.SilkS) (tstamp 60100813) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 117.77001 72.860001) (layer F.SilkS) (tstamp 60100812) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VCC (at 117.77001 77.940001) (layer F.SilkS) (tstamp 60100811) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 117.77001 75.400001) (layer F.SilkS) (tstamp 60100810) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A7 (at 117.77001 80.480001) (layer F.SilkS) (tstamp 6010080F) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A6 (at 117.77001 83.020001) (layer F.SilkS) (tstamp 6010080E) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A5 (at 117.77001 85.560001) (layer F.SilkS) (tstamp 6010080D) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A4 (at 117.77001 88.100001) (layer F.SilkS) (tstamp 6010080C) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A3 (at 117.77001 90.640001) (layer F.SilkS) (tstamp 6010080B) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A2 (at 117.77001 93.180001) (layer F.SilkS) (tstamp 6010080A) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A1 (at 117.77001 95.720001) (layer F.SilkS) (tstamp 60100809) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A0 (at 117.77001 98.260001) (layer F.SilkS) (tstamp 60100808) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text REF (at 117.77001 100.800001) (layer F.SilkS) (tstamp 60100807) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text 3V3 (at 117.77001 103.340001) (layer F.SilkS) (tstamp 60100806) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D13! (at 117.77001 105.880001) (layer F.SilkS) (tstamp 60100805) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D12! (at 94.91001 105.880001) (layer F.SilkS) (tstamp 60100804) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D11! (at 94.91001 103.340001) (layer F.SilkS) (tstamp 60100803) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D10 (at 94.91001 100.800001) (layer F.SilkS) (tstamp 60100802) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D9 (at 94.91001 98.260001) (layer F.SilkS) (tstamp 60100801) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D8! (at 94.91001 95.720001) (layer F.SilkS) (tstamp 60100800) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D7! (at 94.91001 93.180001) (layer F.SilkS) (tstamp 601007FF) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D6 (at 94.91001 90.640001) (layer F.SilkS) (tstamp 601007FE) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D5 (at 94.91001 88.100001) (layer F.SilkS) (tstamp 601007FD) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D4! (at 94.91001 85.560001) (layer F.SilkS) (tstamp 601007FC) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D3 (at 94.91001 83.020001) (layer F.SilkS) (tstamp 601007FB) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D2 (at 94.91001 80.480001) (layer F.SilkS) (tstamp 601007FA) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 94.91001 77.940001) (layer F.SilkS) (tstamp 601007F9) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 94.91001 75.400001) (layer F.SilkS) (tstamp 601007F8) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RX0 (at 94.91001 72.860001) (layer F.SilkS) (tstamp 601007F7) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text TX1 (at 94.91001 70.320001) (layer F.SilkS) (tstamp 601007F6) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VIN (at 113.19801 114.770001) (layer F.SilkS) (tstamp 601007F5) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text GND (at 119.80201 114.770001) (layer F.SilkS) (tstamp 601007F4) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text VCC (at 98.72001 55.080001) (layer F.SilkS) (tstamp 601007F3) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text INT (at 113.96001 55.080001) (layer F.SilkS) (tstamp 601007F2) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "Made by redfast00" (at 122.21501 58.890001 90) (layer F.SilkS) (tstamp 601007F1) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "OBUS module PCB v1.0\nInstructions: https://zeus.ugent.be/obus" (at 110.15001 88.100001 90) (layer B.SilkS) (tstamp 601007F0) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text OBUS (at 106.34001 114.770001) (layer F.SilkS) (tstamp 601007EF) + (effects (font (size 2 2) (thickness 0.3))) + ) + (gr_text "USB PORT\nHERE" (at 106.34001 107.150001) (layer F.SilkS) (tstamp 601007EE) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VIN (at 79.210001 70.320001) (layer F.SilkS) (tstamp 60100694) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 79.210001 72.860001) (layer F.SilkS) (tstamp 60100693) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VCC (at 79.210001 77.940001) (layer F.SilkS) (tstamp 60100692) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 79.210001 75.400001) (layer F.SilkS) (tstamp 60100691) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A7 (at 79.210001 80.480001) (layer F.SilkS) (tstamp 60100690) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A6 (at 79.210001 83.020001) (layer F.SilkS) (tstamp 6010068F) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A5 (at 79.210001 85.560001) (layer F.SilkS) (tstamp 6010068E) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A4 (at 79.210001 88.100001) (layer F.SilkS) (tstamp 6010068D) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A3 (at 79.210001 90.640001) (layer F.SilkS) (tstamp 6010068C) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A2 (at 79.210001 93.180001) (layer F.SilkS) (tstamp 6010068B) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A1 (at 79.210001 95.720001) (layer F.SilkS) (tstamp 6010068A) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text A0 (at 79.210001 98.260001) (layer F.SilkS) (tstamp 60100689) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text REF (at 79.210001 100.800001) (layer F.SilkS) (tstamp 60100688) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text 3V3 (at 79.210001 103.340001) (layer F.SilkS) (tstamp 60100687) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D13! (at 79.210001 105.880001) (layer F.SilkS) (tstamp 60100686) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D12! (at 56.350001 105.880001) (layer F.SilkS) (tstamp 60100685) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D11! (at 56.350001 103.340001) (layer F.SilkS) (tstamp 60100684) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D10 (at 56.350001 100.800001) (layer F.SilkS) (tstamp 60100683) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D9 (at 56.350001 98.260001) (layer F.SilkS) (tstamp 60100682) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D8! (at 56.350001 95.720001) (layer F.SilkS) (tstamp 60100681) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D7! (at 56.350001 93.180001) (layer F.SilkS) (tstamp 60100680) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D6 (at 56.350001 90.640001) (layer F.SilkS) (tstamp 6010067F) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D5 (at 56.350001 88.100001) (layer F.SilkS) (tstamp 6010067E) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text !D4! (at 56.350001 85.560001) (layer F.SilkS) (tstamp 6010067D) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D3 (at 56.350001 83.020001) (layer F.SilkS) (tstamp 6010067C) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text D2 (at 56.350001 80.480001) (layer F.SilkS) (tstamp 6010067B) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text GND (at 56.350001 77.940001) (layer F.SilkS) (tstamp 6010067A) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RST (at 56.350001 75.400001) (layer F.SilkS) (tstamp 60100679) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text RX0 (at 56.350001 72.860001) (layer F.SilkS) (tstamp 60100678) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text TX1 (at 56.350001 70.320001) (layer F.SilkS) (tstamp 60100677) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text VIN (at 74.638001 114.770001) (layer F.SilkS) (tstamp 60100676) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text GND (at 81.242001 114.770001) (layer F.SilkS) (tstamp 60100675) + (effects (font (size 1 1) (thickness 0.15)) (justify left)) + ) + (gr_text VCC (at 60.160001 55.080001) (layer F.SilkS) (tstamp 60100674) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text INT (at 75.400001 55.080001) (layer F.SilkS) (tstamp 60100673) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "Made by redfast00" (at 83.655001 58.890001 90) (layer F.SilkS) (tstamp 60100672) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "OBUS module PCB v1.0\nInstructions: https://zeus.ugent.be/obus" (at 71.590001 88.100001 90) (layer B.SilkS) (tstamp 60100671) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text OBUS (at 67.780001 114.770001) (layer F.SilkS) (tstamp 60100670) + (effects (font (size 2 2) (thickness 0.3))) + ) + (gr_text "USB PORT\nHERE" (at 67.780001 107.150001) (layer F.SilkS) (tstamp 6010066F) + (effects (font (size 1 1) (thickness 0.15))) + ) + + (segment (start 75.400001 103.340001) (end 83.020001 103.340001) (width 0.35) (layer B.Cu) (net 1) (tstamp 6010062E) (status 30)) + (segment (start 75.400001 70.320001) (end 83.020001 70.320001) (width 0.35) (layer B.Cu) (net 2) (tstamp 60100660) (status 30)) + (segment (start 81.795 109.645002) (end 76.670001 114.770001) (width 0.35) (layer F.Cu) (net 2) (tstamp 60100661) (status 20)) + (segment (start 81.795 71.545002) (end 81.795 109.645002) (width 0.35) (layer F.Cu) (net 2) (tstamp 60100662)) + (segment (start 83.020001 70.320001) (end 81.795 71.545002) (width 0.35) (layer F.Cu) (net 2) (tstamp 60100663) (status 10)) + (segment (start 52.540001 70.320001) (end 60.160001 70.320001) (width 0.25) (layer B.Cu) (net 4) (tstamp 6010062D) (status 30)) + (segment (start 52.540001 93.180001) (end 60.160001 93.180001) (width 0.25) (layer B.Cu) (net 5) (tstamp 6010064B) (status 30)) + (segment (start 64.674011 88.665991) (end 60.160001 93.180001) (width 0.25) (layer B.Cu) (net 5) (tstamp 6010064C)) + (segment (start 67.6034 68.085012) (end 64.674011 71.014401) (width 0.25) (layer B.Cu) (net 5) (tstamp 6010064D)) + (segment (start 75.341992 68.085012) (end 67.6034 68.085012) (width 0.25) (layer B.Cu) (net 5) (tstamp 6010064E)) + (segment (start 80.480001 62.947003) (end 75.341992 68.085012) (width 0.25) (layer B.Cu) (net 5) (tstamp 6010064F)) + (segment (start 64.674011 71.014401) (end 64.674011 88.665991) (width 0.25) (layer B.Cu) (net 5) (tstamp 60100650)) + (segment (start 80.480001 60.160001) (end 80.480001 62.947003) (width 0.25) (layer B.Cu) (net 5) (tstamp 60100651)) + (segment (start 79.210001 60.160001) (end 80.480001 60.160001) (width 0.25) (layer B.Cu) (net 5) (tstamp 60100652)) + (segment (start 52.540001 95.720001) (end 60.160001 95.720001) (width 0.25) (layer B.Cu) (net 6) (tstamp 60100654) (status 30)) + (segment (start 65.240001 90.640001) (end 60.160001 95.720001) (width 0.25) (layer F.Cu) (net 6) (tstamp 60100655) (status 20)) + (segment (start 65.240001 52.540001) (end 65.240001 90.640001) (width 0.25) (layer F.Cu) (net 6) (tstamp 60100656) (status 10)) + (segment (start 52.540001 98.260001) (end 60.160001 98.260001) (width 0.25) (layer B.Cu) (net 7) (tstamp 60100657) (status 30)) + (segment (start 52.540001 100.800001) (end 60.160001 100.800001) (width 0.25) (layer B.Cu) (net 8) (tstamp 60100659) (status 30)) + (segment (start 52.540001 103.340001) (end 60.160001 103.340001) (width 0.25) (layer B.Cu) (net 9) (tstamp 6010065A) (status 30)) + (segment (start 66.605 96.895002) (end 60.160001 103.340001) (width 0.25) (layer F.Cu) (net 9) (tstamp 6010065B) (status 20)) + (segment (start 66.605 51.976) (end 66.605 96.895002) (width 0.25) (layer F.Cu) (net 9) (tstamp 6010065C)) + (segment (start 67.216 51.365) (end 66.605 51.976) (width 0.25) (layer F.Cu) (net 9) (tstamp 6010065D)) + (segment (start 69.145 51.365) (end 67.216 51.365) (width 0.25) (layer F.Cu) (net 9) (tstamp 6010065E)) + (segment (start 70.320001 52.540001) (end 69.145 51.365) (width 0.25) (layer F.Cu) (net 9) (tstamp 6010065F) (status 10)) + (segment (start 52.540001 105.880001) (end 60.160001 105.880001) (width 0.25) (layer B.Cu) (net 10) (tstamp 60100664) (status 30)) + (segment (start 67.780001 98.260001) (end 60.160001 105.880001) (width 0.25) (layer F.Cu) (net 10) (tstamp 60100665) (status 20)) + (segment (start 67.780001 52.540001) (end 67.780001 98.260001) (width 0.25) (layer F.Cu) (net 10) (tstamp 60100666) (status 10)) + (segment (start 75.400001 105.880001) (end 83.020001 105.880001) (width 0.25) (layer B.Cu) (net 11) (tstamp 60100667) (status 30)) + (segment (start 72.860001 103.340001) (end 75.400001 105.880001) (width 0.25) (layer F.Cu) (net 11) (tstamp 60100668) (status 20)) + (segment (start 72.860001 52.540001) (end 72.860001 103.340001) (width 0.25) (layer F.Cu) (net 11) (tstamp 60100669) (status 10)) + (segment (start 75.400001 100.800001) (end 83.020001 100.800001) (width 0.25) (layer B.Cu) (net 12) (tstamp 60100630) (status 30)) + (segment (start 75.400001 98.260001) (end 83.020001 98.260001) (width 0.25) (layer B.Cu) (net 13) (tstamp 60100632) (status 30)) + (segment (start 52.540001 72.860001) (end 60.160001 72.860001) (width 0.25) (layer B.Cu) (net 14) (tstamp 6010062F) (status 30)) + (segment (start 75.400001 95.720001) (end 83.020001 95.720001) (width 0.25) (layer B.Cu) (net 15) (tstamp 60100633) (status 30)) + (segment (start 75.400001 93.180001) (end 83.020001 93.180001) (width 0.25) (layer B.Cu) (net 16) (tstamp 6010063D) (status 30)) + (segment (start 75.400001 90.640001) (end 83.020001 90.640001) (width 0.25) (layer B.Cu) (net 17) (tstamp 6010063F) (status 30)) + (segment (start 75.400001 88.100001) (end 83.020001 88.100001) (width 0.25) (layer B.Cu) (net 18) (tstamp 60100646) (status 30)) + (segment (start 75.400001 85.560001) (end 83.020001 85.560001) (width 0.25) (layer B.Cu) (net 19) (tstamp 60100648) (status 30)) + (segment (start 75.400001 83.020001) (end 83.020001 83.020001) (width 0.25) (layer B.Cu) (net 20) (tstamp 6010064A) (status 30)) + (segment (start 75.400001 80.480001) (end 83.020001 80.480001) (width 0.25) (layer B.Cu) (net 21) (tstamp 60100653) (status 30)) + (segment (start 75.400001 75.400001) (end 83.020001 75.400001) (width 0.25) (layer B.Cu) (net 22) (tstamp 60100658) (status 30)) + (segment (start 52.540001 75.400001) (end 60.160001 75.400001) (width 0.25) (layer B.Cu) (net 23) (tstamp 60100631) (status 30)) + (segment (start 52.540001 80.480001) (end 60.160001 80.480001) (width 0.25) (layer B.Cu) (net 24) (tstamp 60100634) (status 30)) + (segment (start 80.660001 52.540001) (end 81.750001 52.540001) (width 0.25) (layer F.Cu) (net 24) (tstamp 60100635) (status 10)) + (via (at 81.750001 52.540001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24) (tstamp 60100636)) + (via (at 63.970001 58.890001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24) (tstamp 60100637)) + (segment (start 63.970001 76.670001) (end 60.160001 80.480001) (width 0.25) (layer F.Cu) (net 24) (tstamp 60100638) (status 20)) + (segment (start 63.970001 58.890001) (end 63.970001 76.670001) (width 0.25) (layer F.Cu) (net 24) (tstamp 60100639)) + (segment (start 81.750001 52.540001) (end 81.750001 54.445001) (width 0.25) (layer B.Cu) (net 24) (tstamp 6010063A)) + (segment (start 68.415001 54.445001) (end 63.970001 58.890001) (width 0.25) (layer B.Cu) (net 24) (tstamp 6010063B)) + (segment (start 81.750001 54.445001) (end 68.415001 54.445001) (width 0.25) (layer B.Cu) (net 24) (tstamp 6010063C)) + (segment (start 52.540001 83.020001) (end 60.160001 83.020001) (width 0.25) (layer B.Cu) (net 25) (tstamp 6010063E) (status 30)) + (segment (start 52.540001 85.560001) (end 60.160001 85.560001) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100640) (status 30)) + (segment (start 74.275 67.635002) (end 67.417 67.635002) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100641)) + (segment (start 79.210001 62.700001) (end 74.275 67.635002) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100642)) + (segment (start 67.417 67.635002) (end 64.224001 70.828001) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100643)) + (segment (start 64.224001 81.496001) (end 60.160001 85.560001) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100644)) + (segment (start 64.224001 70.828001) (end 64.224001 81.496001) (width 0.25) (layer B.Cu) (net 26) (tstamp 60100645)) + (segment (start 52.540001 88.100001) (end 60.160001 88.100001) (width 0.25) (layer B.Cu) (net 27) (tstamp 60100647) (status 30)) + (segment (start 52.540001 90.640001) (end 60.160001 90.640001) (width 0.25) (layer B.Cu) (net 28) (tstamp 60100649) (status 30)) + (segment (start 60.160001 62.700001) (end 71.590001 62.700001) (width 0.25) (layer B.Cu) (net 29) (tstamp 6010066A) (status 30)) + (segment (start 60.160001 60.160001) (end 71.590001 60.160001) (width 0.25) (layer B.Cu) (net 30) (tstamp 6010066B) (status 30)) + (segment (start 75.400001 52.540001) (end 79.210001 52.540001) (width 0.25) (layer F.Cu) (net 31) (tstamp 6010066C) (status 30)) + (segment (start 113.96001 103.340001) (end 121.58001 103.340001) (width 0.35) (layer B.Cu) (net 33) (tstamp 601007AD) (status 30)) + (segment (start 113.96001 70.320001) (end 121.58001 70.320001) (width 0.35) (layer B.Cu) (net 34) (tstamp 601007DF) (status 30)) + (segment (start 120.355009 109.645002) (end 115.23001 114.770001) (width 0.35) (layer F.Cu) (net 34) (tstamp 601007E0) (status 20)) + (segment (start 120.355009 71.545002) (end 120.355009 109.645002) (width 0.35) (layer F.Cu) (net 34) (tstamp 601007E1)) + (segment (start 121.58001 70.320001) (end 120.355009 71.545002) (width 0.35) (layer F.Cu) (net 34) (tstamp 601007E2) (status 10)) + (segment (start 91.10001 70.320001) (end 98.72001 70.320001) (width 0.25) (layer B.Cu) (net 36) (tstamp 601007AC) (status 30)) + (segment (start 91.10001 93.180001) (end 98.72001 93.180001) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CA) (status 30)) + (segment (start 103.23402 88.665991) (end 98.72001 93.180001) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CB)) + (segment (start 106.163409 68.085012) (end 103.23402 71.014401) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CC)) + (segment (start 113.902001 68.085012) (end 106.163409 68.085012) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CD)) + (segment (start 119.04001 62.947003) (end 113.902001 68.085012) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CE)) + (segment (start 103.23402 71.014401) (end 103.23402 88.665991) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007CF)) + (segment (start 119.04001 60.160001) (end 119.04001 62.947003) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007D0)) + (segment (start 117.77001 60.160001) (end 119.04001 60.160001) (width 0.25) (layer B.Cu) (net 37) (tstamp 601007D1)) + (segment (start 91.10001 95.720001) (end 98.72001 95.720001) (width 0.25) (layer B.Cu) (net 38) (tstamp 601007D3) (status 30)) + (segment (start 103.80001 90.640001) (end 98.72001 95.720001) (width 0.25) (layer F.Cu) (net 38) (tstamp 601007D4) (status 20)) + (segment (start 103.80001 52.540001) (end 103.80001 90.640001) (width 0.25) (layer F.Cu) (net 38) (tstamp 601007D5) (status 10)) + (segment (start 91.10001 98.260001) (end 98.72001 98.260001) (width 0.25) (layer B.Cu) (net 39) (tstamp 601007D6) (status 30)) + (segment (start 91.10001 100.800001) (end 98.72001 100.800001) (width 0.25) (layer B.Cu) (net 40) (tstamp 601007D8) (status 30)) + (segment (start 91.10001 103.340001) (end 98.72001 103.340001) (width 0.25) (layer B.Cu) (net 41) (tstamp 601007D9) (status 30)) + (segment (start 105.165009 96.895002) (end 98.72001 103.340001) (width 0.25) (layer F.Cu) (net 41) (tstamp 601007DA) (status 20)) + (segment (start 105.165009 51.976) (end 105.165009 96.895002) (width 0.25) (layer F.Cu) (net 41) (tstamp 601007DB)) + (segment (start 105.776009 51.365) (end 105.165009 51.976) (width 0.25) (layer F.Cu) (net 41) (tstamp 601007DC)) + (segment (start 107.705009 51.365) (end 105.776009 51.365) (width 0.25) (layer F.Cu) (net 41) (tstamp 601007DD)) + (segment (start 108.88001 52.540001) (end 107.705009 51.365) (width 0.25) (layer F.Cu) (net 41) (tstamp 601007DE) (status 10)) + (segment (start 91.10001 105.880001) (end 98.72001 105.880001) (width 0.25) (layer B.Cu) (net 42) (tstamp 601007E3) (status 30)) + (segment (start 106.34001 98.260001) (end 98.72001 105.880001) (width 0.25) (layer F.Cu) (net 42) (tstamp 601007E4) (status 20)) + (segment (start 106.34001 52.540001) (end 106.34001 98.260001) (width 0.25) (layer F.Cu) (net 42) (tstamp 601007E5) (status 10)) + (segment (start 113.96001 105.880001) (end 121.58001 105.880001) (width 0.25) (layer B.Cu) (net 43) (tstamp 601007E6) (status 30)) + (segment (start 111.42001 103.340001) (end 113.96001 105.880001) (width 0.25) (layer F.Cu) (net 43) (tstamp 601007E7) (status 20)) + (segment (start 111.42001 52.540001) (end 111.42001 103.340001) (width 0.25) (layer F.Cu) (net 43) (tstamp 601007E8) (status 10)) + (segment (start 113.96001 100.800001) (end 121.58001 100.800001) (width 0.25) (layer B.Cu) (net 44) (tstamp 601007AF) (status 30)) + (segment (start 113.96001 98.260001) (end 121.58001 98.260001) (width 0.25) (layer B.Cu) (net 45) (tstamp 601007B1) (status 30)) + (segment (start 91.10001 72.860001) (end 98.72001 72.860001) (width 0.25) (layer B.Cu) (net 46) (tstamp 601007AE) (status 30)) + (segment (start 113.96001 95.720001) (end 121.58001 95.720001) (width 0.25) (layer B.Cu) (net 47) (tstamp 601007B2) (status 30)) + (segment (start 113.96001 93.180001) (end 121.58001 93.180001) (width 0.25) (layer B.Cu) (net 48) (tstamp 601007BC) (status 30)) + (segment (start 113.96001 90.640001) (end 121.58001 90.640001) (width 0.25) (layer B.Cu) (net 49) (tstamp 601007BE) (status 30)) + (segment (start 113.96001 88.100001) (end 121.58001 88.100001) (width 0.25) (layer B.Cu) (net 50) (tstamp 601007C5) (status 30)) + (segment (start 113.96001 85.560001) (end 121.58001 85.560001) (width 0.25) (layer B.Cu) (net 51) (tstamp 601007C7) (status 30)) + (segment (start 113.96001 83.020001) (end 121.58001 83.020001) (width 0.25) (layer B.Cu) (net 52) (tstamp 601007C9) (status 30)) + (segment (start 113.96001 80.480001) (end 121.58001 80.480001) (width 0.25) (layer B.Cu) (net 53) (tstamp 601007D2) (status 30)) + (segment (start 113.96001 75.400001) (end 121.58001 75.400001) (width 0.25) (layer B.Cu) (net 54) (tstamp 601007D7) (status 30)) + (segment (start 91.10001 75.400001) (end 98.72001 75.400001) (width 0.25) (layer B.Cu) (net 55) (tstamp 601007B0) (status 30)) + (segment (start 91.10001 80.480001) (end 98.72001 80.480001) (width 0.25) (layer B.Cu) (net 56) (tstamp 601007B3) (status 30)) + (segment (start 119.22001 52.540001) (end 120.31001 52.540001) (width 0.25) (layer F.Cu) (net 56) (tstamp 601007B4) (status 10)) + (via (at 120.31001 52.540001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 56) (tstamp 601007B5)) + (via (at 102.53001 58.890001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 56) (tstamp 601007B6)) + (segment (start 102.53001 76.670001) (end 98.72001 80.480001) (width 0.25) (layer F.Cu) (net 56) (tstamp 601007B7) (status 20)) + (segment (start 102.53001 58.890001) (end 102.53001 76.670001) (width 0.25) (layer F.Cu) (net 56) (tstamp 601007B8)) + (segment (start 120.31001 52.540001) (end 120.31001 54.445001) (width 0.25) (layer B.Cu) (net 56) (tstamp 601007B9)) + (segment (start 106.97501 54.445001) (end 102.53001 58.890001) (width 0.25) (layer B.Cu) (net 56) (tstamp 601007BA)) + (segment (start 120.31001 54.445001) (end 106.97501 54.445001) (width 0.25) (layer B.Cu) (net 56) (tstamp 601007BB)) + (segment (start 91.10001 83.020001) (end 98.72001 83.020001) (width 0.25) (layer B.Cu) (net 57) (tstamp 601007BD) (status 30)) + (segment (start 91.10001 85.560001) (end 98.72001 85.560001) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007BF) (status 30)) + (segment (start 112.835009 67.635002) (end 105.977009 67.635002) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007C0)) + (segment (start 117.77001 62.700001) (end 112.835009 67.635002) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007C1)) + (segment (start 105.977009 67.635002) (end 102.78401 70.828001) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007C2)) + (segment (start 102.78401 81.496001) (end 98.72001 85.560001) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007C3)) + (segment (start 102.78401 70.828001) (end 102.78401 81.496001) (width 0.25) (layer B.Cu) (net 58) (tstamp 601007C4)) + (segment (start 91.10001 88.100001) (end 98.72001 88.100001) (width 0.25) (layer B.Cu) (net 59) (tstamp 601007C6) (status 30)) + (segment (start 91.10001 90.640001) (end 98.72001 90.640001) (width 0.25) (layer B.Cu) (net 60) (tstamp 601007C8) (status 30)) + (segment (start 98.72001 62.700001) (end 110.15001 62.700001) (width 0.25) (layer B.Cu) (net 61) (tstamp 601007E9) (status 30)) + (segment (start 98.72001 60.160001) (end 110.15001 60.160001) (width 0.25) (layer B.Cu) (net 62) (tstamp 601007EA) (status 30)) + (segment (start 113.96001 52.540001) (end 117.77001 52.540001) (width 0.25) (layer F.Cu) (net 63) (tstamp 601007EB) (status 30)) + + (zone (net 3) (net_name Board_1-GND) (layer F.Cu) (tstamp 601067DE) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.560001 118.580001) (xy 50.000001 118.580001) (xy 50.000001 50.000001) (xy 85.560001 50.000001) + ) + ) + (filled_polygon + (pts + (xy 66.791724 50.730026) (xy 66.791722 50.730027) (xy 66.791723 50.730027) (xy 66.704996 50.801201) (xy 66.704992 50.801205) + (xy 66.675999 50.824999) (xy 66.652205 50.853992) (xy 66.146493 51.359705) (xy 65.943412 51.224011) (xy 65.673159 51.112069) + (xy 65.386261 51.055001) (xy 65.093741 51.055001) (xy 64.806843 51.112069) (xy 64.53659 51.224011) (xy 64.293369 51.386526) + (xy 64.086526 51.593369) (xy 63.964806 51.775535) (xy 63.895179 51.658646) (xy 63.70027 51.442413) (xy 63.466921 51.26836) + (xy 63.2041 51.143176) (xy 63.056891 51.098525) (xy 62.827001 51.219846) (xy 62.827001 52.413001) (xy 62.847001 52.413001) + (xy 62.847001 52.667001) (xy 62.827001 52.667001) (xy 62.827001 53.860156) (xy 63.056891 53.981477) (xy 63.2041 53.936826) + (xy 63.466921 53.811642) (xy 63.70027 53.637589) (xy 63.895179 53.421356) (xy 63.964806 53.304467) (xy 64.086526 53.486633) + (xy 64.293369 53.693476) (xy 64.480001 53.818179) (xy 64.480001 57.985989) (xy 64.460257 57.972796) (xy 64.271899 57.894775) + (xy 64.07194 57.855001) (xy 63.868062 57.855001) (xy 63.668103 57.894775) (xy 63.479745 57.972796) (xy 63.310227 58.086064) + (xy 63.166064 58.230227) (xy 63.052796 58.399745) (xy 62.974775 58.588103) (xy 62.935001 58.788062) (xy 62.935001 58.99194) + (xy 62.974775 59.191899) (xy 63.052796 59.380257) (xy 63.166064 59.549775) (xy 63.210001 59.593712) (xy 63.210002 76.355198) + (xy 61.454129 78.111072) (xy 61.429916 78.067001) (xy 60.287001 78.067001) (xy 60.287001 78.087001) (xy 60.033001 78.087001) + (xy 60.033001 78.067001) (xy 58.890086 78.067001) (xy 58.768097 78.28904) (xy 58.808755 78.423088) (xy 58.928964 78.677421) + (xy 59.096482 78.903415) (xy 59.30487 79.092386) (xy 59.490866 79.203934) (xy 59.480274 79.208321) (xy 59.245242 79.365364) + (xy 59.045364 79.565242) (xy 58.888321 79.800274) (xy 58.780148 80.061427) (xy 58.725001 80.338666) (xy 58.725001 80.621336) + (xy 58.780148 80.898575) (xy 58.888321 81.159728) (xy 59.045364 81.39476) (xy 59.245242 81.594638) (xy 59.47776 81.750001) + (xy 59.245242 81.905364) (xy 59.045364 82.105242) (xy 58.888321 82.340274) (xy 58.780148 82.601427) (xy 58.725001 82.878666) + (xy 58.725001 83.161336) (xy 58.780148 83.438575) (xy 58.888321 83.699728) (xy 59.045364 83.93476) (xy 59.245242 84.134638) + (xy 59.47776 84.290001) (xy 59.245242 84.445364) (xy 59.045364 84.645242) (xy 58.888321 84.880274) (xy 58.780148 85.141427) + (xy 58.725001 85.418666) (xy 58.725001 85.701336) (xy 58.780148 85.978575) (xy 58.888321 86.239728) (xy 59.045364 86.47476) + (xy 59.245242 86.674638) (xy 59.47776 86.830001) (xy 59.245242 86.985364) (xy 59.045364 87.185242) (xy 58.888321 87.420274) + (xy 58.780148 87.681427) (xy 58.725001 87.958666) (xy 58.725001 88.241336) (xy 58.780148 88.518575) (xy 58.888321 88.779728) + (xy 59.045364 89.01476) (xy 59.245242 89.214638) (xy 59.47776 89.370001) (xy 59.245242 89.525364) (xy 59.045364 89.725242) + (xy 58.888321 89.960274) (xy 58.780148 90.221427) (xy 58.725001 90.498666) (xy 58.725001 90.781336) (xy 58.780148 91.058575) + (xy 58.888321 91.319728) (xy 59.045364 91.55476) (xy 59.245242 91.754638) (xy 59.47776 91.910001) (xy 59.245242 92.065364) + (xy 59.045364 92.265242) (xy 58.888321 92.500274) (xy 58.780148 92.761427) (xy 58.725001 93.038666) (xy 58.725001 93.321336) + (xy 58.780148 93.598575) (xy 58.888321 93.859728) (xy 59.045364 94.09476) (xy 59.245242 94.294638) (xy 59.47776 94.450001) + (xy 59.245242 94.605364) (xy 59.045364 94.805242) (xy 58.888321 95.040274) (xy 58.780148 95.301427) (xy 58.725001 95.578666) + (xy 58.725001 95.861336) (xy 58.780148 96.138575) (xy 58.888321 96.399728) (xy 59.045364 96.63476) (xy 59.245242 96.834638) + (xy 59.47776 96.990001) (xy 59.245242 97.145364) (xy 59.045364 97.345242) (xy 58.888321 97.580274) (xy 58.780148 97.841427) + (xy 58.725001 98.118666) (xy 58.725001 98.401336) (xy 58.780148 98.678575) (xy 58.888321 98.939728) (xy 59.045364 99.17476) + (xy 59.245242 99.374638) (xy 59.47776 99.530001) (xy 59.245242 99.685364) (xy 59.045364 99.885242) (xy 58.888321 100.120274) + (xy 58.780148 100.381427) (xy 58.725001 100.658666) (xy 58.725001 100.941336) (xy 58.780148 101.218575) (xy 58.888321 101.479728) + (xy 59.045364 101.71476) (xy 59.245242 101.914638) (xy 59.47776 102.070001) (xy 59.245242 102.225364) (xy 59.045364 102.425242) + (xy 58.888321 102.660274) (xy 58.780148 102.921427) (xy 58.725001 103.198666) (xy 58.725001 103.481336) (xy 58.780148 103.758575) + (xy 58.888321 104.019728) (xy 59.045364 104.25476) (xy 59.245242 104.454638) (xy 59.47776 104.610001) (xy 59.245242 104.765364) + (xy 59.045364 104.965242) (xy 58.888321 105.200274) (xy 58.780148 105.461427) (xy 58.725001 105.738666) (xy 58.725001 106.021336) + (xy 58.780148 106.298575) (xy 58.888321 106.559728) (xy 59.045364 106.79476) (xy 59.245242 106.994638) (xy 59.480274 107.151681) + (xy 59.741427 107.259854) (xy 60.018666 107.315001) (xy 60.301336 107.315001) (xy 60.578575 107.259854) (xy 60.839728 107.151681) + (xy 61.07476 106.994638) (xy 61.274638 106.79476) (xy 61.431681 106.559728) (xy 61.539854 106.298575) (xy 61.595001 106.021336) + (xy 61.595001 105.738666) (xy 61.558689 105.556114) (xy 68.291004 98.8238) (xy 68.320002 98.800002) (xy 68.414975 98.684277) + (xy 68.485547 98.552248) (xy 68.529004 98.408987) (xy 68.540001 98.297334) (xy 68.540001 98.297325) (xy 68.543677 98.260002) + (xy 68.540001 98.222679) (xy 68.540001 53.818179) (xy 68.726633 53.693476) (xy 68.933476 53.486633) (xy 69.050001 53.312241) + (xy 69.166526 53.486633) (xy 69.373369 53.693476) (xy 69.61659 53.855991) (xy 69.886843 53.967933) (xy 70.173741 54.025001) + (xy 70.466261 54.025001) (xy 70.753159 53.967933) (xy 71.023412 53.855991) (xy 71.266633 53.693476) (xy 71.473476 53.486633) + (xy 71.590001 53.312241) (xy 71.706526 53.486633) (xy 71.913369 53.693476) (xy 72.100001 53.818179) (xy 72.100001 58.926257) + (xy 71.979406 58.876305) (xy 71.721487 58.825001) (xy 71.458515 58.825001) (xy 71.200596 58.876305) (xy 70.957642 58.97694) + (xy 70.738988 59.123039) (xy 70.553039 59.308988) (xy 70.40694 59.527642) (xy 70.306305 59.770596) (xy 70.255001 60.028515) + (xy 70.255001 60.291487) (xy 70.306305 60.549406) (xy 70.40694 60.79236) (xy 70.553039 61.011014) (xy 70.738988 61.196963) + (xy 70.957642 61.343062) (xy 71.167531 61.430001) (xy 70.957642 61.51694) (xy 70.738988 61.663039) (xy 70.553039 61.848988) + (xy 70.40694 62.067642) (xy 70.306305 62.310596) (xy 70.255001 62.568515) (xy 70.255001 62.831487) (xy 70.306305 63.089406) + (xy 70.40694 63.33236) (xy 70.553039 63.551014) (xy 70.738988 63.736963) (xy 70.957642 63.883062) (xy 71.200596 63.983697) + (xy 71.458515 64.035001) (xy 71.721487 64.035001) (xy 71.979406 63.983697) (xy 72.100001 63.933745) (xy 72.100002 103.302668) + (xy 72.096325 103.340001) (xy 72.100002 103.377334) (xy 72.110246 103.481336) (xy 72.110999 103.488986) (xy 72.154455 103.632247) + (xy 72.225027 103.764277) (xy 72.296202 103.851003) (xy 72.320001 103.880002) (xy 72.348999 103.9038) (xy 74.001313 105.556115) + (xy 73.965001 105.738666) (xy 73.965001 106.021336) (xy 74.020148 106.298575) (xy 74.128321 106.559728) (xy 74.285364 106.79476) + (xy 74.485242 106.994638) (xy 74.720274 107.151681) (xy 74.981427 107.259854) (xy 75.258666 107.315001) (xy 75.541336 107.315001) + (xy 75.818575 107.259854) (xy 76.079728 107.151681) (xy 76.31476 106.994638) (xy 76.514638 106.79476) (xy 76.671681 106.559728) + (xy 76.779854 106.298575) (xy 76.835001 106.021336) (xy 76.835001 105.738666) (xy 76.779854 105.461427) (xy 76.671681 105.200274) + (xy 76.514638 104.965242) (xy 76.31476 104.765364) (xy 76.082242 104.610001) (xy 76.31476 104.454638) (xy 76.514638 104.25476) + (xy 76.671681 104.019728) (xy 76.779854 103.758575) (xy 76.835001 103.481336) (xy 76.835001 103.198666) (xy 76.779854 102.921427) + (xy 76.671681 102.660274) (xy 76.514638 102.425242) (xy 76.31476 102.225364) (xy 76.082242 102.070001) (xy 76.31476 101.914638) + (xy 76.514638 101.71476) (xy 76.671681 101.479728) (xy 76.779854 101.218575) (xy 76.835001 100.941336) (xy 76.835001 100.658666) + (xy 76.779854 100.381427) (xy 76.671681 100.120274) (xy 76.514638 99.885242) (xy 76.31476 99.685364) (xy 76.082242 99.530001) + (xy 76.31476 99.374638) (xy 76.514638 99.17476) (xy 76.671681 98.939728) (xy 76.779854 98.678575) (xy 76.835001 98.401336) + (xy 76.835001 98.118666) (xy 76.779854 97.841427) (xy 76.671681 97.580274) (xy 76.514638 97.345242) (xy 76.31476 97.145364) + (xy 76.082242 96.990001) (xy 76.31476 96.834638) (xy 76.514638 96.63476) (xy 76.671681 96.399728) (xy 76.779854 96.138575) + (xy 76.835001 95.861336) (xy 76.835001 95.578666) (xy 76.779854 95.301427) (xy 76.671681 95.040274) (xy 76.514638 94.805242) + (xy 76.31476 94.605364) (xy 76.082242 94.450001) (xy 76.31476 94.294638) (xy 76.514638 94.09476) (xy 76.671681 93.859728) + (xy 76.779854 93.598575) (xy 76.835001 93.321336) (xy 76.835001 93.038666) (xy 76.779854 92.761427) (xy 76.671681 92.500274) + (xy 76.514638 92.265242) (xy 76.31476 92.065364) (xy 76.082242 91.910001) (xy 76.31476 91.754638) (xy 76.514638 91.55476) + (xy 76.671681 91.319728) (xy 76.779854 91.058575) (xy 76.835001 90.781336) (xy 76.835001 90.498666) (xy 76.779854 90.221427) + (xy 76.671681 89.960274) (xy 76.514638 89.725242) (xy 76.31476 89.525364) (xy 76.082242 89.370001) (xy 76.31476 89.214638) + (xy 76.514638 89.01476) (xy 76.671681 88.779728) (xy 76.779854 88.518575) (xy 76.835001 88.241336) (xy 76.835001 87.958666) + (xy 76.779854 87.681427) (xy 76.671681 87.420274) (xy 76.514638 87.185242) (xy 76.31476 86.985364) (xy 76.082242 86.830001) + (xy 76.31476 86.674638) (xy 76.514638 86.47476) (xy 76.671681 86.239728) (xy 76.779854 85.978575) (xy 76.835001 85.701336) + (xy 76.835001 85.418666) (xy 76.779854 85.141427) (xy 76.671681 84.880274) (xy 76.514638 84.645242) (xy 76.31476 84.445364) + (xy 76.082242 84.290001) (xy 76.31476 84.134638) (xy 76.514638 83.93476) (xy 76.671681 83.699728) (xy 76.779854 83.438575) + (xy 76.835001 83.161336) (xy 76.835001 82.878666) (xy 76.779854 82.601427) (xy 76.671681 82.340274) (xy 76.514638 82.105242) + (xy 76.31476 81.905364) (xy 76.082242 81.750001) (xy 76.31476 81.594638) (xy 76.514638 81.39476) (xy 76.671681 81.159728) + (xy 76.779854 80.898575) (xy 76.835001 80.621336) (xy 76.835001 80.338666) (xy 76.779854 80.061427) (xy 76.671681 79.800274) + (xy 76.514638 79.565242) (xy 76.31476 79.365364) (xy 76.082242 79.210001) (xy 76.31476 79.054638) (xy 76.514638 78.85476) + (xy 76.671681 78.619728) (xy 76.779854 78.358575) (xy 76.835001 78.081336) (xy 76.835001 77.798666) (xy 76.779854 77.521427) + (xy 76.671681 77.260274) (xy 76.514638 77.025242) (xy 76.31476 76.825364) (xy 76.082242 76.670001) (xy 76.31476 76.514638) + (xy 76.514638 76.31476) (xy 76.671681 76.079728) (xy 76.779854 75.818575) (xy 76.835001 75.541336) (xy 76.835001 75.258666) + (xy 76.779854 74.981427) (xy 76.671681 74.720274) (xy 76.514638 74.485242) (xy 76.31476 74.285364) (xy 76.079728 74.128321) + (xy 76.069136 74.123934) (xy 76.255132 74.012386) (xy 76.46352 73.823415) (xy 76.631038 73.597421) (xy 76.751247 73.343088) + (xy 76.791905 73.20904) (xy 76.669916 72.987001) (xy 75.527001 72.987001) (xy 75.527001 73.007001) (xy 75.273001 73.007001) + (xy 75.273001 72.987001) (xy 74.130086 72.987001) (xy 74.008097 73.20904) (xy 74.048755 73.343088) (xy 74.168964 73.597421) + (xy 74.336482 73.823415) (xy 74.54487 74.012386) (xy 74.730866 74.123934) (xy 74.720274 74.128321) (xy 74.485242 74.285364) + (xy 74.285364 74.485242) (xy 74.128321 74.720274) (xy 74.020148 74.981427) (xy 73.965001 75.258666) (xy 73.965001 75.541336) + (xy 74.020148 75.818575) (xy 74.128321 76.079728) (xy 74.285364 76.31476) (xy 74.485242 76.514638) (xy 74.71776 76.670001) + (xy 74.485242 76.825364) (xy 74.285364 77.025242) (xy 74.128321 77.260274) (xy 74.020148 77.521427) (xy 73.965001 77.798666) + (xy 73.965001 78.081336) (xy 74.020148 78.358575) (xy 74.128321 78.619728) (xy 74.285364 78.85476) (xy 74.485242 79.054638) + (xy 74.71776 79.210001) (xy 74.485242 79.365364) (xy 74.285364 79.565242) (xy 74.128321 79.800274) (xy 74.020148 80.061427) + (xy 73.965001 80.338666) (xy 73.965001 80.621336) (xy 74.020148 80.898575) (xy 74.128321 81.159728) (xy 74.285364 81.39476) + (xy 74.485242 81.594638) (xy 74.71776 81.750001) (xy 74.485242 81.905364) (xy 74.285364 82.105242) (xy 74.128321 82.340274) + (xy 74.020148 82.601427) (xy 73.965001 82.878666) (xy 73.965001 83.161336) (xy 74.020148 83.438575) (xy 74.128321 83.699728) + (xy 74.285364 83.93476) (xy 74.485242 84.134638) (xy 74.71776 84.290001) (xy 74.485242 84.445364) (xy 74.285364 84.645242) + (xy 74.128321 84.880274) (xy 74.020148 85.141427) (xy 73.965001 85.418666) (xy 73.965001 85.701336) (xy 74.020148 85.978575) + (xy 74.128321 86.239728) (xy 74.285364 86.47476) (xy 74.485242 86.674638) (xy 74.71776 86.830001) (xy 74.485242 86.985364) + (xy 74.285364 87.185242) (xy 74.128321 87.420274) (xy 74.020148 87.681427) (xy 73.965001 87.958666) (xy 73.965001 88.241336) + (xy 74.020148 88.518575) (xy 74.128321 88.779728) (xy 74.285364 89.01476) (xy 74.485242 89.214638) (xy 74.71776 89.370001) + (xy 74.485242 89.525364) (xy 74.285364 89.725242) (xy 74.128321 89.960274) (xy 74.020148 90.221427) (xy 73.965001 90.498666) + (xy 73.965001 90.781336) (xy 74.020148 91.058575) (xy 74.128321 91.319728) (xy 74.285364 91.55476) (xy 74.485242 91.754638) + (xy 74.71776 91.910001) (xy 74.485242 92.065364) (xy 74.285364 92.265242) (xy 74.128321 92.500274) (xy 74.020148 92.761427) + (xy 73.965001 93.038666) (xy 73.965001 93.321336) (xy 74.020148 93.598575) (xy 74.128321 93.859728) (xy 74.285364 94.09476) + (xy 74.485242 94.294638) (xy 74.71776 94.450001) (xy 74.485242 94.605364) (xy 74.285364 94.805242) (xy 74.128321 95.040274) + (xy 74.020148 95.301427) (xy 73.965001 95.578666) (xy 73.965001 95.861336) (xy 74.020148 96.138575) (xy 74.128321 96.399728) + (xy 74.285364 96.63476) (xy 74.485242 96.834638) (xy 74.71776 96.990001) (xy 74.485242 97.145364) (xy 74.285364 97.345242) + (xy 74.128321 97.580274) (xy 74.020148 97.841427) (xy 73.965001 98.118666) (xy 73.965001 98.401336) (xy 74.020148 98.678575) + (xy 74.128321 98.939728) (xy 74.285364 99.17476) (xy 74.485242 99.374638) (xy 74.71776 99.530001) (xy 74.485242 99.685364) + (xy 74.285364 99.885242) (xy 74.128321 100.120274) (xy 74.020148 100.381427) (xy 73.965001 100.658666) (xy 73.965001 100.941336) + (xy 74.020148 101.218575) (xy 74.128321 101.479728) (xy 74.285364 101.71476) (xy 74.485242 101.914638) (xy 74.71776 102.070001) + (xy 74.485242 102.225364) (xy 74.285364 102.425242) (xy 74.128321 102.660274) (xy 74.020148 102.921427) (xy 73.965001 103.198666) + (xy 73.965001 103.3702) (xy 73.620001 103.0252) (xy 73.620001 70.178666) (xy 73.965001 70.178666) (xy 73.965001 70.461336) + (xy 74.020148 70.738575) (xy 74.128321 70.999728) (xy 74.285364 71.23476) (xy 74.485242 71.434638) (xy 74.720274 71.591681) + (xy 74.730866 71.596068) (xy 74.54487 71.707616) (xy 74.336482 71.896587) (xy 74.168964 72.122581) (xy 74.048755 72.376914) + (xy 74.008097 72.510962) (xy 74.130086 72.733001) (xy 75.273001 72.733001) (xy 75.273001 72.713001) (xy 75.527001 72.713001) + (xy 75.527001 72.733001) (xy 76.669916 72.733001) (xy 76.791905 72.510962) (xy 76.751247 72.376914) (xy 76.631038 72.122581) + (xy 76.46352 71.896587) (xy 76.255132 71.707616) (xy 76.069136 71.596068) (xy 76.079728 71.591681) (xy 76.31476 71.434638) + (xy 76.514638 71.23476) (xy 76.671681 70.999728) (xy 76.779854 70.738575) (xy 76.835001 70.461336) (xy 76.835001 70.178666) + (xy 76.779854 69.901427) (xy 76.671681 69.640274) (xy 76.514638 69.405242) (xy 76.31476 69.205364) (xy 76.079728 69.048321) + (xy 75.818575 68.940148) (xy 75.541336 68.885001) (xy 75.258666 68.885001) (xy 74.981427 68.940148) (xy 74.720274 69.048321) + (xy 74.485242 69.205364) (xy 74.285364 69.405242) (xy 74.128321 69.640274) (xy 74.020148 69.901427) (xy 73.965001 70.178666) + (xy 73.620001 70.178666) (xy 73.620001 60.028515) (xy 77.875001 60.028515) (xy 77.875001 60.291487) (xy 77.926305 60.549406) + (xy 78.02694 60.79236) (xy 78.173039 61.011014) (xy 78.358988 61.196963) (xy 78.577642 61.343062) (xy 78.787531 61.430001) + (xy 78.577642 61.51694) (xy 78.358988 61.663039) (xy 78.173039 61.848988) (xy 78.02694 62.067642) (xy 77.926305 62.310596) + (xy 77.875001 62.568515) (xy 77.875001 62.831487) (xy 77.926305 63.089406) (xy 78.02694 63.33236) (xy 78.173039 63.551014) + (xy 78.358988 63.736963) (xy 78.577642 63.883062) (xy 78.820596 63.983697) (xy 79.078515 64.035001) (xy 79.341487 64.035001) + (xy 79.599406 63.983697) (xy 79.84236 63.883062) (xy 80.061014 63.736963) (xy 80.246963 63.551014) (xy 80.393062 63.33236) + (xy 80.493697 63.089406) (xy 80.545001 62.831487) (xy 80.545001 62.568515) (xy 80.493697 62.310596) (xy 80.393062 62.067642) + (xy 80.246963 61.848988) (xy 80.061014 61.663039) (xy 79.84236 61.51694) (xy 79.632471 61.430001) (xy 79.84236 61.343062) + (xy 80.061014 61.196963) (xy 80.246963 61.011014) (xy 80.393062 60.79236) (xy 80.493697 60.549406) (xy 80.545001 60.291487) + (xy 80.545001 60.028515) (xy 80.493697 59.770596) (xy 80.393062 59.527642) (xy 80.246963 59.308988) (xy 80.061014 59.123039) + (xy 79.84236 58.97694) (xy 79.599406 58.876305) (xy 79.341487 58.825001) (xy 79.078515 58.825001) (xy 78.820596 58.876305) + (xy 78.577642 58.97694) (xy 78.358988 59.123039) (xy 78.173039 59.308988) (xy 78.02694 59.527642) (xy 77.926305 59.770596) + (xy 77.875001 60.028515) (xy 73.620001 60.028515) (xy 73.620001 53.818179) (xy 73.806633 53.693476) (xy 73.938488 53.561621) + (xy 73.960499 53.634181) (xy 74.019464 53.744495) (xy 74.098816 53.841186) (xy 74.195507 53.920538) (xy 74.305821 53.979503) + (xy 74.425519 54.015813) (xy 74.550001 54.028073) (xy 76.250001 54.028073) (xy 76.374483 54.015813) (xy 76.494181 53.979503) + (xy 76.604495 53.920538) (xy 76.701186 53.841186) (xy 76.780538 53.744495) (xy 76.839503 53.634181) (xy 76.875813 53.514483) + (xy 76.888073 53.390001) (xy 76.888073 53.300001) (xy 78.072914 53.300001) (xy 78.084189 53.414483) (xy 78.120499 53.534181) + (xy 78.179464 53.644495) (xy 78.258816 53.741186) (xy 78.355507 53.820538) (xy 78.465821 53.879503) (xy 78.585519 53.915813) + (xy 78.710001 53.928073) (xy 79.860001 53.928073) (xy 79.983828 53.915942) (xy 80.009936 53.908052) (xy 80.035519 53.915813) + (xy 80.160001 53.928073) (xy 81.160001 53.928073) (xy 81.284483 53.915813) (xy 81.404181 53.879503) (xy 81.514495 53.820538) + (xy 81.611186 53.741186) (xy 81.690538 53.644495) (xy 81.727684 53.575001) (xy 81.85194 53.575001) (xy 82.051899 53.535227) + (xy 82.240257 53.457206) (xy 82.409775 53.343938) (xy 82.553938 53.199775) (xy 82.667206 53.030257) (xy 82.745227 52.841899) + (xy 82.785001 52.64194) (xy 82.785001 52.438062) (xy 82.745227 52.238103) (xy 82.667206 52.049745) (xy 82.553938 51.880227) + (xy 82.409775 51.736064) (xy 82.240257 51.622796) (xy 82.051899 51.544775) (xy 81.85194 51.505001) (xy 81.727684 51.505001) + (xy 81.690538 51.435507) (xy 81.611186 51.338816) (xy 81.514495 51.259464) (xy 81.404181 51.200499) (xy 81.284483 51.164189) + (xy 81.160001 51.151929) (xy 80.160001 51.151929) (xy 80.034865 51.16432) (xy 80.009937 51.17191) (xy 79.984483 51.164189) + (xy 79.860001 51.151929) (xy 78.710001 51.151929) (xy 78.585519 51.164189) (xy 78.465821 51.200499) (xy 78.355507 51.259464) + (xy 78.258816 51.338816) (xy 78.179464 51.435507) (xy 78.120499 51.545821) (xy 78.084189 51.665519) (xy 78.072914 51.780001) + (xy 76.888073 51.780001) (xy 76.888073 51.690001) (xy 76.875813 51.565519) (xy 76.839503 51.445821) (xy 76.780538 51.335507) + (xy 76.701186 51.238816) (xy 76.604495 51.159464) (xy 76.494181 51.100499) (xy 76.374483 51.064189) (xy 76.250001 51.051929) + (xy 74.550001 51.051929) (xy 74.425519 51.064189) (xy 74.305821 51.100499) (xy 74.195507 51.159464) (xy 74.098816 51.238816) + (xy 74.019464 51.335507) (xy 73.960499 51.445821) (xy 73.938488 51.518381) (xy 73.806633 51.386526) (xy 73.563412 51.224011) + (xy 73.293159 51.112069) (xy 73.006261 51.055001) (xy 72.713741 51.055001) (xy 72.426843 51.112069) (xy 72.15659 51.224011) + (xy 71.913369 51.386526) (xy 71.706526 51.593369) (xy 71.590001 51.767761) (xy 71.473476 51.593369) (xy 71.266633 51.386526) + (xy 71.023412 51.224011) (xy 70.753159 51.112069) (xy 70.466261 51.055001) (xy 70.173741 51.055001) (xy 69.953593 51.098792) + (xy 69.708804 50.854003) (xy 69.685001 50.824999) (xy 69.569276 50.730026) (xy 69.43827 50.660001) (xy 82.987722 50.660001) + (xy 83.384546 50.69891) (xy 83.735209 50.804781) (xy 84.058626 50.976745) (xy 84.342485 51.208255) (xy 84.575966 51.490487) + (xy 84.750184 51.812696) (xy 84.858503 52.162615) (xy 84.900002 52.557453) (xy 84.900001 116.007722) (xy 84.861092 116.404546) + (xy 84.755221 116.755207) (xy 84.583258 117.078624) (xy 84.351749 117.362483) (xy 84.069515 117.595966) (xy 83.747305 117.770185) + (xy 83.397386 117.878503) (xy 83.002558 117.920001) (xy 52.57228 117.920001) (xy 52.175456 117.881092) (xy 51.824795 117.775221) + (xy 51.501378 117.603258) (xy 51.217519 117.371749) (xy 50.984036 117.089515) (xy 50.809817 116.767305) (xy 50.701499 116.417386) + (xy 50.660001 116.022558) (xy 50.660001 114.623741) (xy 75.185001 114.623741) (xy 75.185001 114.916261) (xy 75.242069 115.203159) + (xy 75.354011 115.473412) (xy 75.516526 115.716633) (xy 75.723369 115.923476) (xy 75.96659 116.085991) (xy 76.236843 116.197933) + (xy 76.523741 116.255001) (xy 76.816261 116.255001) (xy 77.103159 116.197933) (xy 77.373412 116.085991) (xy 77.616633 115.923476) + (xy 77.748488 115.791621) (xy 77.770499 115.864181) (xy 77.829464 115.974495) (xy 77.908816 116.071186) (xy 78.005507 116.150538) + (xy 78.115821 116.209503) (xy 78.235519 116.245813) (xy 78.360001 116.258073) (xy 78.924251 116.255001) (xy 79.083001 116.096251) + (xy 79.083001 114.897001) (xy 79.337001 114.897001) (xy 79.337001 116.096251) (xy 79.495751 116.255001) (xy 80.060001 116.258073) + (xy 80.184483 116.245813) (xy 80.304181 116.209503) (xy 80.414495 116.150538) (xy 80.511186 116.071186) (xy 80.590538 115.974495) + (xy 80.649503 115.864181) (xy 80.685813 115.744483) (xy 80.698073 115.620001) (xy 80.695001 115.055751) (xy 80.536251 114.897001) + (xy 79.337001 114.897001) (xy 79.083001 114.897001) (xy 79.063001 114.897001) (xy 79.063001 114.643001) (xy 79.083001 114.643001) + (xy 79.083001 114.623001) (xy 79.337001 114.623001) (xy 79.337001 114.643001) (xy 80.536251 114.643001) (xy 80.695001 114.484251) + (xy 80.698073 113.920001) (xy 80.685813 113.795519) (xy 80.649503 113.675821) (xy 80.590538 113.565507) (xy 80.511186 113.468816) + (xy 80.414495 113.389464) (xy 80.304181 113.330499) (xy 80.184483 113.294189) (xy 80.060001 113.281929) (xy 79.495751 113.285001) + (xy 79.337003 113.443749) (xy 79.337003 113.285001) (xy 79.300513 113.285001) (xy 82.339618 110.245897) (xy 82.370528 110.22053) + (xy 82.426119 110.152792) (xy 82.471749 110.097192) (xy 82.546962 109.956476) (xy 82.546963 109.956475) (xy 82.59328 109.80379) + (xy 82.605 109.684793) (xy 82.605 109.684791) (xy 82.608919 109.645003) (xy 82.605 109.605215) (xy 82.605 107.368073) + (xy 83.870001 107.368073) (xy 83.994483 107.355813) (xy 84.114181 107.319503) (xy 84.224495 107.260538) (xy 84.321186 107.181186) + (xy 84.400538 107.084495) (xy 84.459503 106.974181) (xy 84.495813 106.854483) (xy 84.508073 106.730001) (xy 84.508073 105.030001) + (xy 84.495813 104.905519) (xy 84.459503 104.785821) (xy 84.400538 104.675507) (xy 84.321186 104.578816) (xy 84.224495 104.499464) + (xy 84.114181 104.440499) (xy 84.041621 104.418488) (xy 84.173476 104.286633) (xy 84.335991 104.043412) (xy 84.447933 103.773159) + (xy 84.505001 103.486261) (xy 84.505001 103.193741) (xy 84.447933 102.906843) (xy 84.335991 102.63659) (xy 84.173476 102.393369) + (xy 83.966633 102.186526) (xy 83.792241 102.070001) (xy 83.966633 101.953476) (xy 84.173476 101.746633) (xy 84.335991 101.503412) + (xy 84.447933 101.233159) (xy 84.505001 100.946261) (xy 84.505001 100.653741) (xy 84.447933 100.366843) (xy 84.335991 100.09659) + (xy 84.173476 99.853369) (xy 83.966633 99.646526) (xy 83.792241 99.530001) (xy 83.966633 99.413476) (xy 84.173476 99.206633) + (xy 84.335991 98.963412) (xy 84.447933 98.693159) (xy 84.505001 98.406261) (xy 84.505001 98.113741) (xy 84.447933 97.826843) + (xy 84.335991 97.55659) (xy 84.173476 97.313369) (xy 83.966633 97.106526) (xy 83.792241 96.990001) (xy 83.966633 96.873476) + (xy 84.173476 96.666633) (xy 84.335991 96.423412) (xy 84.447933 96.153159) (xy 84.505001 95.866261) (xy 84.505001 95.573741) + (xy 84.447933 95.286843) (xy 84.335991 95.01659) (xy 84.173476 94.773369) (xy 83.966633 94.566526) (xy 83.792241 94.450001) + (xy 83.966633 94.333476) (xy 84.173476 94.126633) (xy 84.335991 93.883412) (xy 84.447933 93.613159) (xy 84.505001 93.326261) + (xy 84.505001 93.033741) (xy 84.447933 92.746843) (xy 84.335991 92.47659) (xy 84.173476 92.233369) (xy 83.966633 92.026526) + (xy 83.792241 91.910001) (xy 83.966633 91.793476) (xy 84.173476 91.586633) (xy 84.335991 91.343412) (xy 84.447933 91.073159) + (xy 84.505001 90.786261) (xy 84.505001 90.493741) (xy 84.447933 90.206843) (xy 84.335991 89.93659) (xy 84.173476 89.693369) + (xy 83.966633 89.486526) (xy 83.792241 89.370001) (xy 83.966633 89.253476) (xy 84.173476 89.046633) (xy 84.335991 88.803412) + (xy 84.447933 88.533159) (xy 84.505001 88.246261) (xy 84.505001 87.953741) (xy 84.447933 87.666843) (xy 84.335991 87.39659) + (xy 84.173476 87.153369) (xy 83.966633 86.946526) (xy 83.792241 86.830001) (xy 83.966633 86.713476) (xy 84.173476 86.506633) + (xy 84.335991 86.263412) (xy 84.447933 85.993159) (xy 84.505001 85.706261) (xy 84.505001 85.413741) (xy 84.447933 85.126843) + (xy 84.335991 84.85659) (xy 84.173476 84.613369) (xy 83.966633 84.406526) (xy 83.792241 84.290001) (xy 83.966633 84.173476) + (xy 84.173476 83.966633) (xy 84.335991 83.723412) (xy 84.447933 83.453159) (xy 84.505001 83.166261) (xy 84.505001 82.873741) + (xy 84.447933 82.586843) (xy 84.335991 82.31659) (xy 84.173476 82.073369) (xy 83.966633 81.866526) (xy 83.792241 81.750001) + (xy 83.966633 81.633476) (xy 84.173476 81.426633) (xy 84.335991 81.183412) (xy 84.447933 80.913159) (xy 84.505001 80.626261) + (xy 84.505001 80.333741) (xy 84.447933 80.046843) (xy 84.335991 79.77659) (xy 84.173476 79.533369) (xy 83.966633 79.326526) + (xy 83.792241 79.210001) (xy 83.966633 79.093476) (xy 84.173476 78.886633) (xy 84.335991 78.643412) (xy 84.447933 78.373159) + (xy 84.505001 78.086261) (xy 84.505001 77.793741) (xy 84.447933 77.506843) (xy 84.335991 77.23659) (xy 84.173476 76.993369) + (xy 83.966633 76.786526) (xy 83.792241 76.670001) (xy 83.966633 76.553476) (xy 84.173476 76.346633) (xy 84.335991 76.103412) + (xy 84.447933 75.833159) (xy 84.505001 75.546261) (xy 84.505001 75.253741) (xy 84.447933 74.966843) (xy 84.335991 74.69659) + (xy 84.173476 74.453369) (xy 83.966633 74.246526) (xy 83.784467 74.124806) (xy 83.901356 74.055179) (xy 84.117589 73.86027) + (xy 84.291642 73.626921) (xy 84.416826 73.3641) (xy 84.461477 73.216891) (xy 84.340156 72.987001) (xy 83.147001 72.987001) + (xy 83.147001 73.007001) (xy 82.893001 73.007001) (xy 82.893001 72.987001) (xy 82.873001 72.987001) (xy 82.873001 72.733001) + (xy 82.893001 72.733001) (xy 82.893001 72.713001) (xy 83.147001 72.713001) (xy 83.147001 72.733001) (xy 84.340156 72.733001) + (xy 84.461477 72.503111) (xy 84.416826 72.355902) (xy 84.291642 72.093081) (xy 84.117589 71.859732) (xy 83.901356 71.664823) + (xy 83.784467 71.595196) (xy 83.966633 71.473476) (xy 84.173476 71.266633) (xy 84.335991 71.023412) (xy 84.447933 70.753159) + (xy 84.505001 70.466261) (xy 84.505001 70.173741) (xy 84.447933 69.886843) (xy 84.335991 69.61659) (xy 84.173476 69.373369) + (xy 83.966633 69.166526) (xy 83.723412 69.004011) (xy 83.453159 68.892069) (xy 83.166261 68.835001) (xy 82.873741 68.835001) + (xy 82.586843 68.892069) (xy 82.31659 69.004011) (xy 82.073369 69.166526) (xy 81.866526 69.373369) (xy 81.704011 69.61659) + (xy 81.592069 69.886843) (xy 81.535001 70.173741) (xy 81.535001 70.466261) (xy 81.56706 70.62743) (xy 81.250387 70.944103) + (xy 81.219472 70.969474) (xy 81.149703 71.054489) (xy 81.118251 71.092813) (xy 81.103719 71.120001) (xy 81.043037 71.23353) + (xy 80.99672 71.386215) (xy 80.987469 71.480148) (xy 80.981081 71.545002) (xy 80.985 71.58479) (xy 80.985001 109.309488) + (xy 76.97743 113.31706) (xy 76.816261 113.285001) (xy 76.523741 113.285001) (xy 76.236843 113.342069) (xy 75.96659 113.454011) + (xy 75.723369 113.616526) (xy 75.516526 113.823369) (xy 75.354011 114.06659) (xy 75.242069 114.336843) (xy 75.185001 114.623741) + (xy 50.660001 114.623741) (xy 50.660001 105.030001) (xy 51.051929 105.030001) (xy 51.051929 106.730001) (xy 51.064189 106.854483) + (xy 51.100499 106.974181) (xy 51.159464 107.084495) (xy 51.238816 107.181186) (xy 51.335507 107.260538) (xy 51.445821 107.319503) + (xy 51.565519 107.355813) (xy 51.690001 107.368073) (xy 53.390001 107.368073) (xy 53.514483 107.355813) (xy 53.634181 107.319503) + (xy 53.744495 107.260538) (xy 53.841186 107.181186) (xy 53.920538 107.084495) (xy 53.979503 106.974181) (xy 54.015813 106.854483) + (xy 54.028073 106.730001) (xy 54.028073 105.030001) (xy 54.015813 104.905519) (xy 53.979503 104.785821) (xy 53.920538 104.675507) + (xy 53.841186 104.578816) (xy 53.744495 104.499464) (xy 53.634181 104.440499) (xy 53.561621 104.418488) (xy 53.693476 104.286633) + (xy 53.855991 104.043412) (xy 53.967933 103.773159) (xy 54.025001 103.486261) (xy 54.025001 103.193741) (xy 53.967933 102.906843) + (xy 53.855991 102.63659) (xy 53.693476 102.393369) (xy 53.486633 102.186526) (xy 53.312241 102.070001) (xy 53.486633 101.953476) + (xy 53.693476 101.746633) (xy 53.855991 101.503412) (xy 53.967933 101.233159) (xy 54.025001 100.946261) (xy 54.025001 100.653741) + (xy 53.967933 100.366843) (xy 53.855991 100.09659) (xy 53.693476 99.853369) (xy 53.486633 99.646526) (xy 53.312241 99.530001) + (xy 53.486633 99.413476) (xy 53.693476 99.206633) (xy 53.855991 98.963412) (xy 53.967933 98.693159) (xy 54.025001 98.406261) + (xy 54.025001 98.113741) (xy 53.967933 97.826843) (xy 53.855991 97.55659) (xy 53.693476 97.313369) (xy 53.486633 97.106526) + (xy 53.312241 96.990001) (xy 53.486633 96.873476) (xy 53.693476 96.666633) (xy 53.855991 96.423412) (xy 53.967933 96.153159) + (xy 54.025001 95.866261) (xy 54.025001 95.573741) (xy 53.967933 95.286843) (xy 53.855991 95.01659) (xy 53.693476 94.773369) + (xy 53.486633 94.566526) (xy 53.312241 94.450001) (xy 53.486633 94.333476) (xy 53.693476 94.126633) (xy 53.855991 93.883412) + (xy 53.967933 93.613159) (xy 54.025001 93.326261) (xy 54.025001 93.033741) (xy 53.967933 92.746843) (xy 53.855991 92.47659) + (xy 53.693476 92.233369) (xy 53.486633 92.026526) (xy 53.312241 91.910001) (xy 53.486633 91.793476) (xy 53.693476 91.586633) + (xy 53.855991 91.343412) (xy 53.967933 91.073159) (xy 54.025001 90.786261) (xy 54.025001 90.493741) (xy 53.967933 90.206843) + (xy 53.855991 89.93659) (xy 53.693476 89.693369) (xy 53.486633 89.486526) (xy 53.312241 89.370001) (xy 53.486633 89.253476) + (xy 53.693476 89.046633) (xy 53.855991 88.803412) (xy 53.967933 88.533159) (xy 54.025001 88.246261) (xy 54.025001 87.953741) + (xy 53.967933 87.666843) (xy 53.855991 87.39659) (xy 53.693476 87.153369) (xy 53.486633 86.946526) (xy 53.312241 86.830001) + (xy 53.486633 86.713476) (xy 53.693476 86.506633) (xy 53.855991 86.263412) (xy 53.967933 85.993159) (xy 54.025001 85.706261) + (xy 54.025001 85.413741) (xy 53.967933 85.126843) (xy 53.855991 84.85659) (xy 53.693476 84.613369) (xy 53.486633 84.406526) + (xy 53.312241 84.290001) (xy 53.486633 84.173476) (xy 53.693476 83.966633) (xy 53.855991 83.723412) (xy 53.967933 83.453159) + (xy 54.025001 83.166261) (xy 54.025001 82.873741) (xy 53.967933 82.586843) (xy 53.855991 82.31659) (xy 53.693476 82.073369) + (xy 53.486633 81.866526) (xy 53.312241 81.750001) (xy 53.486633 81.633476) (xy 53.693476 81.426633) (xy 53.855991 81.183412) + (xy 53.967933 80.913159) (xy 54.025001 80.626261) (xy 54.025001 80.333741) (xy 53.967933 80.046843) (xy 53.855991 79.77659) + (xy 53.693476 79.533369) (xy 53.486633 79.326526) (xy 53.304467 79.204806) (xy 53.421356 79.135179) (xy 53.637589 78.94027) + (xy 53.811642 78.706921) (xy 53.936826 78.4441) (xy 53.981477 78.296891) (xy 53.860156 78.067001) (xy 52.667001 78.067001) + (xy 52.667001 78.087001) (xy 52.413001 78.087001) (xy 52.413001 78.067001) (xy 51.219846 78.067001) (xy 51.098525 78.296891) + (xy 51.143176 78.4441) (xy 51.26836 78.706921) (xy 51.442413 78.94027) (xy 51.658646 79.135179) (xy 51.775535 79.204806) + (xy 51.593369 79.326526) (xy 51.386526 79.533369) (xy 51.224011 79.77659) (xy 51.112069 80.046843) (xy 51.055001 80.333741) + (xy 51.055001 80.626261) (xy 51.112069 80.913159) (xy 51.224011 81.183412) (xy 51.386526 81.426633) (xy 51.593369 81.633476) + (xy 51.767761 81.750001) (xy 51.593369 81.866526) (xy 51.386526 82.073369) (xy 51.224011 82.31659) (xy 51.112069 82.586843) + (xy 51.055001 82.873741) (xy 51.055001 83.166261) (xy 51.112069 83.453159) (xy 51.224011 83.723412) (xy 51.386526 83.966633) + (xy 51.593369 84.173476) (xy 51.767761 84.290001) (xy 51.593369 84.406526) (xy 51.386526 84.613369) (xy 51.224011 84.85659) + (xy 51.112069 85.126843) (xy 51.055001 85.413741) (xy 51.055001 85.706261) (xy 51.112069 85.993159) (xy 51.224011 86.263412) + (xy 51.386526 86.506633) (xy 51.593369 86.713476) (xy 51.767761 86.830001) (xy 51.593369 86.946526) (xy 51.386526 87.153369) + (xy 51.224011 87.39659) (xy 51.112069 87.666843) (xy 51.055001 87.953741) (xy 51.055001 88.246261) (xy 51.112069 88.533159) + (xy 51.224011 88.803412) (xy 51.386526 89.046633) (xy 51.593369 89.253476) (xy 51.767761 89.370001) (xy 51.593369 89.486526) + (xy 51.386526 89.693369) (xy 51.224011 89.93659) (xy 51.112069 90.206843) (xy 51.055001 90.493741) (xy 51.055001 90.786261) + (xy 51.112069 91.073159) (xy 51.224011 91.343412) (xy 51.386526 91.586633) (xy 51.593369 91.793476) (xy 51.767761 91.910001) + (xy 51.593369 92.026526) (xy 51.386526 92.233369) (xy 51.224011 92.47659) (xy 51.112069 92.746843) (xy 51.055001 93.033741) + (xy 51.055001 93.326261) (xy 51.112069 93.613159) (xy 51.224011 93.883412) (xy 51.386526 94.126633) (xy 51.593369 94.333476) + (xy 51.767761 94.450001) (xy 51.593369 94.566526) (xy 51.386526 94.773369) (xy 51.224011 95.01659) (xy 51.112069 95.286843) + (xy 51.055001 95.573741) (xy 51.055001 95.866261) (xy 51.112069 96.153159) (xy 51.224011 96.423412) (xy 51.386526 96.666633) + (xy 51.593369 96.873476) (xy 51.767761 96.990001) (xy 51.593369 97.106526) (xy 51.386526 97.313369) (xy 51.224011 97.55659) + (xy 51.112069 97.826843) (xy 51.055001 98.113741) (xy 51.055001 98.406261) (xy 51.112069 98.693159) (xy 51.224011 98.963412) + (xy 51.386526 99.206633) (xy 51.593369 99.413476) (xy 51.767761 99.530001) (xy 51.593369 99.646526) (xy 51.386526 99.853369) + (xy 51.224011 100.09659) (xy 51.112069 100.366843) (xy 51.055001 100.653741) (xy 51.055001 100.946261) (xy 51.112069 101.233159) + (xy 51.224011 101.503412) (xy 51.386526 101.746633) (xy 51.593369 101.953476) (xy 51.767761 102.070001) (xy 51.593369 102.186526) + (xy 51.386526 102.393369) (xy 51.224011 102.63659) (xy 51.112069 102.906843) (xy 51.055001 103.193741) (xy 51.055001 103.486261) + (xy 51.112069 103.773159) (xy 51.224011 104.043412) (xy 51.386526 104.286633) (xy 51.518381 104.418488) (xy 51.445821 104.440499) + (xy 51.335507 104.499464) (xy 51.238816 104.578816) (xy 51.159464 104.675507) (xy 51.100499 104.785821) (xy 51.064189 104.905519) + (xy 51.051929 105.030001) (xy 50.660001 105.030001) (xy 50.660001 70.173741) (xy 51.055001 70.173741) (xy 51.055001 70.466261) + (xy 51.112069 70.753159) (xy 51.224011 71.023412) (xy 51.386526 71.266633) (xy 51.593369 71.473476) (xy 51.767761 71.590001) + (xy 51.593369 71.706526) (xy 51.386526 71.913369) (xy 51.224011 72.15659) (xy 51.112069 72.426843) (xy 51.055001 72.713741) + (xy 51.055001 73.006261) (xy 51.112069 73.293159) (xy 51.224011 73.563412) (xy 51.386526 73.806633) (xy 51.593369 74.013476) + (xy 51.767761 74.130001) (xy 51.593369 74.246526) (xy 51.386526 74.453369) (xy 51.224011 74.69659) (xy 51.112069 74.966843) + (xy 51.055001 75.253741) (xy 51.055001 75.546261) (xy 51.112069 75.833159) (xy 51.224011 76.103412) (xy 51.386526 76.346633) + (xy 51.593369 76.553476) (xy 51.775535 76.675196) (xy 51.658646 76.744823) (xy 51.442413 76.939732) (xy 51.26836 77.173081) + (xy 51.143176 77.435902) (xy 51.098525 77.583111) (xy 51.219846 77.813001) (xy 52.413001 77.813001) (xy 52.413001 77.793001) + (xy 52.667001 77.793001) (xy 52.667001 77.813001) (xy 53.860156 77.813001) (xy 53.981477 77.583111) (xy 53.936826 77.435902) + (xy 53.811642 77.173081) (xy 53.637589 76.939732) (xy 53.421356 76.744823) (xy 53.304467 76.675196) (xy 53.486633 76.553476) + (xy 53.693476 76.346633) (xy 53.855991 76.103412) (xy 53.967933 75.833159) (xy 54.025001 75.546261) (xy 54.025001 75.253741) + (xy 53.967933 74.966843) (xy 53.855991 74.69659) (xy 53.693476 74.453369) (xy 53.486633 74.246526) (xy 53.312241 74.130001) + (xy 53.486633 74.013476) (xy 53.693476 73.806633) (xy 53.855991 73.563412) (xy 53.967933 73.293159) (xy 54.025001 73.006261) + (xy 54.025001 72.713741) (xy 53.967933 72.426843) (xy 53.855991 72.15659) (xy 53.693476 71.913369) (xy 53.486633 71.706526) + (xy 53.312241 71.590001) (xy 53.486633 71.473476) (xy 53.693476 71.266633) (xy 53.855991 71.023412) (xy 53.967933 70.753159) + (xy 54.025001 70.466261) (xy 54.025001 70.173741) (xy 53.967933 69.886843) (xy 53.855991 69.61659) (xy 53.791453 69.520001) + (xy 58.721929 69.520001) (xy 58.721929 71.120001) (xy 58.734189 71.244483) (xy 58.770499 71.364181) (xy 58.829464 71.474495) + (xy 58.908816 71.571186) (xy 59.005507 71.650538) (xy 59.115821 71.709503) (xy 59.235519 71.745813) (xy 59.243962 71.746644) + (xy 59.045364 71.945242) (xy 58.888321 72.180274) (xy 58.780148 72.441427) (xy 58.725001 72.718666) (xy 58.725001 73.001336) + (xy 58.780148 73.278575) (xy 58.888321 73.539728) (xy 59.045364 73.77476) (xy 59.245242 73.974638) (xy 59.47776 74.130001) + (xy 59.245242 74.285364) (xy 59.045364 74.485242) (xy 58.888321 74.720274) (xy 58.780148 74.981427) (xy 58.725001 75.258666) + (xy 58.725001 75.541336) (xy 58.780148 75.818575) (xy 58.888321 76.079728) (xy 59.045364 76.31476) (xy 59.245242 76.514638) + (xy 59.480274 76.671681) (xy 59.490866 76.676068) (xy 59.30487 76.787616) (xy 59.096482 76.976587) (xy 58.928964 77.202581) + (xy 58.808755 77.456914) (xy 58.768097 77.590962) (xy 58.890086 77.813001) (xy 60.033001 77.813001) (xy 60.033001 77.793001) + (xy 60.287001 77.793001) (xy 60.287001 77.813001) (xy 61.429916 77.813001) (xy 61.551905 77.590962) (xy 61.511247 77.456914) + (xy 61.391038 77.202581) (xy 61.22352 76.976587) (xy 61.015132 76.787616) (xy 60.829136 76.676068) (xy 60.839728 76.671681) + (xy 61.07476 76.514638) (xy 61.274638 76.31476) (xy 61.431681 76.079728) (xy 61.539854 75.818575) (xy 61.595001 75.541336) + (xy 61.595001 75.258666) (xy 61.539854 74.981427) (xy 61.431681 74.720274) (xy 61.274638 74.485242) (xy 61.07476 74.285364) + (xy 60.842242 74.130001) (xy 61.07476 73.974638) (xy 61.274638 73.77476) (xy 61.431681 73.539728) (xy 61.539854 73.278575) + (xy 61.595001 73.001336) (xy 61.595001 72.718666) (xy 61.539854 72.441427) (xy 61.431681 72.180274) (xy 61.274638 71.945242) + (xy 61.07604 71.746644) (xy 61.084483 71.745813) (xy 61.204181 71.709503) (xy 61.314495 71.650538) (xy 61.411186 71.571186) + (xy 61.490538 71.474495) (xy 61.549503 71.364181) (xy 61.585813 71.244483) (xy 61.598073 71.120001) (xy 61.598073 69.520001) + (xy 61.585813 69.395519) (xy 61.549503 69.275821) (xy 61.490538 69.165507) (xy 61.411186 69.068816) (xy 61.314495 68.989464) + (xy 61.204181 68.930499) (xy 61.084483 68.894189) (xy 60.960001 68.881929) (xy 59.360001 68.881929) (xy 59.235519 68.894189) + (xy 59.115821 68.930499) (xy 59.005507 68.989464) (xy 58.908816 69.068816) (xy 58.829464 69.165507) (xy 58.770499 69.275821) + (xy 58.734189 69.395519) (xy 58.721929 69.520001) (xy 53.791453 69.520001) (xy 53.693476 69.373369) (xy 53.486633 69.166526) + (xy 53.243412 69.004011) (xy 52.973159 68.892069) (xy 52.686261 68.835001) (xy 52.393741 68.835001) (xy 52.106843 68.892069) + (xy 51.83659 69.004011) (xy 51.593369 69.166526) (xy 51.386526 69.373369) (xy 51.224011 69.61659) (xy 51.112069 69.886843) + (xy 51.055001 70.173741) (xy 50.660001 70.173741) (xy 50.660001 62.165001) (xy 58.621929 62.165001) (xy 58.621929 63.235001) + (xy 58.634189 63.359483) (xy 58.670499 63.479181) (xy 58.729464 63.589495) (xy 58.808816 63.686186) (xy 58.905507 63.765538) + (xy 59.015821 63.824503) (xy 59.135519 63.860813) (xy 59.260001 63.873073) (xy 61.060001 63.873073) (xy 61.184483 63.860813) + (xy 61.304181 63.824503) (xy 61.414495 63.765538) (xy 61.511186 63.686186) (xy 61.590538 63.589495) (xy 61.649503 63.479181) + (xy 61.685813 63.359483) (xy 61.698073 63.235001) (xy 61.698073 62.165001) (xy 61.685813 62.040519) (xy 61.649503 61.920821) + (xy 61.612616 61.851811) (xy 61.653901 61.737384) (xy 61.528245 61.557001) (xy 61.243201 61.557001) (xy 61.184483 61.539189) + (xy 61.060001 61.526929) (xy 59.260001 61.526929) (xy 59.135519 61.539189) (xy 59.076801 61.557001) (xy 58.791757 61.557001) + (xy 58.666101 61.737384) (xy 58.707386 61.851811) (xy 58.670499 61.920821) (xy 58.634189 62.040519) (xy 58.621929 62.165001) + (xy 50.660001 62.165001) (xy 50.660001 58.890001) (xy 58.61934 58.890001) (xy 58.64193 59.119361) (xy 58.708832 59.339907) + (xy 58.807767 59.525001) (xy 58.708832 59.710095) (xy 58.64193 59.930641) (xy 58.61934 60.160001) (xy 58.64193 60.389361) + (xy 58.708832 60.609907) (xy 58.809155 60.797597) (xy 58.751624 60.88558) (xy 58.666101 61.122618) (xy 58.791757 61.303001) + (xy 59.532441 61.303001) (xy 59.565641 61.313072) (xy 59.737524 61.330001) (xy 60.582478 61.330001) (xy 60.754361 61.313072) + (xy 60.787561 61.303001) (xy 61.528245 61.303001) (xy 61.653901 61.122618) (xy 61.568378 60.88558) (xy 61.510847 60.797597) + (xy 61.61117 60.609907) (xy 61.678072 60.389361) (xy 61.700662 60.160001) (xy 61.678072 59.930641) (xy 61.61117 59.710095) + (xy 61.512235 59.525001) (xy 61.61117 59.339907) (xy 61.678072 59.119361) (xy 61.700662 58.890001) (xy 61.678072 58.660641) + (xy 61.61117 58.440095) (xy 61.502527 58.236839) (xy 61.356319 58.058683) (xy 61.178163 57.912475) (xy 60.974907 57.803832) + (xy 60.754361 57.73693) (xy 60.582478 57.720001) (xy 59.737524 57.720001) (xy 59.565641 57.73693) (xy 59.345095 57.803832) + (xy 59.141839 57.912475) (xy 58.963683 58.058683) (xy 58.817475 58.236839) (xy 58.708832 58.440095) (xy 58.64193 58.660641) + (xy 58.61934 58.890001) (xy 50.660001 58.890001) (xy 50.660001 52.57228) (xy 50.677506 52.393741) (xy 58.675001 52.393741) + (xy 58.675001 52.686261) (xy 58.732069 52.973159) (xy 58.844011 53.243412) (xy 59.006526 53.486633) (xy 59.213369 53.693476) + (xy 59.45659 53.855991) (xy 59.726843 53.967933) (xy 60.013741 54.025001) (xy 60.306261 54.025001) (xy 60.593159 53.967933) + (xy 60.863412 53.855991) (xy 61.106633 53.693476) (xy 61.313476 53.486633) (xy 61.435196 53.304467) (xy 61.504823 53.421356) + (xy 61.699732 53.637589) (xy 61.933081 53.811642) (xy 62.195902 53.936826) (xy 62.343111 53.981477) (xy 62.573001 53.860156) + (xy 62.573001 52.667001) (xy 62.553001 52.667001) (xy 62.553001 52.413001) (xy 62.573001 52.413001) (xy 62.573001 51.219846) + (xy 62.343111 51.098525) (xy 62.195902 51.143176) (xy 61.933081 51.26836) (xy 61.699732 51.442413) (xy 61.504823 51.658646) + (xy 61.435196 51.775535) (xy 61.313476 51.593369) (xy 61.106633 51.386526) (xy 60.863412 51.224011) (xy 60.593159 51.112069) + (xy 60.306261 51.055001) (xy 60.013741 51.055001) (xy 59.726843 51.112069) (xy 59.45659 51.224011) (xy 59.213369 51.386526) + (xy 59.006526 51.593369) (xy 58.844011 51.83659) (xy 58.732069 52.106843) (xy 58.675001 52.393741) (xy 50.677506 52.393741) + (xy 50.69891 52.175456) (xy 50.804781 51.824793) (xy 50.976745 51.501376) (xy 51.208255 51.217517) (xy 51.490487 50.984036) + (xy 51.812696 50.809818) (xy 52.162615 50.701499) (xy 52.557444 50.660001) (xy 66.92273 50.660001) + ) + ) + ) + (zone (net 32) (net_name Board_1-VCC) (layer B.Cu) (tstamp 601067DB) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.560001 118.580001) (xy 50.000001 118.580001) (xy 50.000001 50.000001) (xy 85.560001 50.000001) + ) + ) + (filled_polygon + (pts + (xy 83.384546 50.69891) (xy 83.735209 50.804781) (xy 84.058626 50.976745) (xy 84.342485 51.208255) (xy 84.575966 51.490487) + (xy 84.750184 51.812696) (xy 84.858503 52.162615) (xy 84.900002 52.557453) (xy 84.900001 116.007722) (xy 84.861092 116.404546) + (xy 84.755221 116.755207) (xy 84.583258 117.078624) (xy 84.351749 117.362483) (xy 84.069515 117.595966) (xy 83.747305 117.770185) + (xy 83.397386 117.878503) (xy 83.002558 117.920001) (xy 52.57228 117.920001) (xy 52.175456 117.881092) (xy 51.824795 117.775221) + (xy 51.501378 117.603258) (xy 51.217519 117.371749) (xy 50.984036 117.089515) (xy 50.809817 116.767305) (xy 50.701499 116.417386) + (xy 50.660001 116.022558) (xy 50.660001 114.623741) (xy 75.185001 114.623741) (xy 75.185001 114.916261) (xy 75.242069 115.203159) + (xy 75.354011 115.473412) (xy 75.516526 115.716633) (xy 75.723369 115.923476) (xy 75.96659 116.085991) (xy 76.236843 116.197933) + (xy 76.523741 116.255001) (xy 76.816261 116.255001) (xy 77.103159 116.197933) (xy 77.373412 116.085991) (xy 77.616633 115.923476) + (xy 77.748488 115.791621) (xy 77.770499 115.864181) (xy 77.829464 115.974495) (xy 77.908816 116.071186) (xy 78.005507 116.150538) + (xy 78.115821 116.209503) (xy 78.235519 116.245813) (xy 78.360001 116.258073) (xy 80.060001 116.258073) (xy 80.184483 116.245813) + (xy 80.304181 116.209503) (xy 80.414495 116.150538) (xy 80.511186 116.071186) (xy 80.590538 115.974495) (xy 80.649503 115.864181) + (xy 80.685813 115.744483) (xy 80.698073 115.620001) (xy 80.698073 113.920001) (xy 80.685813 113.795519) (xy 80.649503 113.675821) + (xy 80.590538 113.565507) (xy 80.511186 113.468816) (xy 80.414495 113.389464) (xy 80.304181 113.330499) (xy 80.184483 113.294189) + (xy 80.060001 113.281929) (xy 78.360001 113.281929) (xy 78.235519 113.294189) (xy 78.115821 113.330499) (xy 78.005507 113.389464) + (xy 77.908816 113.468816) (xy 77.829464 113.565507) (xy 77.770499 113.675821) (xy 77.748488 113.748381) (xy 77.616633 113.616526) + (xy 77.373412 113.454011) (xy 77.103159 113.342069) (xy 76.816261 113.285001) (xy 76.523741 113.285001) (xy 76.236843 113.342069) + (xy 75.96659 113.454011) (xy 75.723369 113.616526) (xy 75.516526 113.823369) (xy 75.354011 114.06659) (xy 75.242069 114.336843) + (xy 75.185001 114.623741) (xy 50.660001 114.623741) (xy 50.660001 105.030001) (xy 51.051929 105.030001) (xy 51.051929 106.730001) + (xy 51.064189 106.854483) (xy 51.100499 106.974181) (xy 51.159464 107.084495) (xy 51.238816 107.181186) (xy 51.335507 107.260538) + (xy 51.445821 107.319503) (xy 51.565519 107.355813) (xy 51.690001 107.368073) (xy 53.390001 107.368073) (xy 53.514483 107.355813) + (xy 53.634181 107.319503) (xy 53.744495 107.260538) (xy 53.841186 107.181186) (xy 53.920538 107.084495) (xy 53.979503 106.974181) + (xy 54.015813 106.854483) (xy 54.028073 106.730001) (xy 54.028073 106.640001) (xy 58.941958 106.640001) (xy 59.045364 106.79476) + (xy 59.245242 106.994638) (xy 59.480274 107.151681) (xy 59.741427 107.259854) (xy 60.018666 107.315001) (xy 60.301336 107.315001) + (xy 60.578575 107.259854) (xy 60.839728 107.151681) (xy 61.07476 106.994638) (xy 61.274638 106.79476) (xy 61.431681 106.559728) + (xy 61.539854 106.298575) (xy 61.595001 106.021336) (xy 61.595001 105.738666) (xy 61.539854 105.461427) (xy 61.431681 105.200274) + (xy 61.274638 104.965242) (xy 61.07476 104.765364) (xy 60.842242 104.610001) (xy 61.07476 104.454638) (xy 61.274638 104.25476) + (xy 61.431681 104.019728) (xy 61.539854 103.758575) (xy 61.595001 103.481336) (xy 61.595001 103.198666) (xy 61.539854 102.921427) + (xy 61.431681 102.660274) (xy 61.274638 102.425242) (xy 61.07476 102.225364) (xy 60.842242 102.070001) (xy 61.07476 101.914638) + (xy 61.274638 101.71476) (xy 61.431681 101.479728) (xy 61.539854 101.218575) (xy 61.595001 100.941336) (xy 61.595001 100.658666) + (xy 61.539854 100.381427) (xy 61.431681 100.120274) (xy 61.274638 99.885242) (xy 61.07476 99.685364) (xy 60.842242 99.530001) + (xy 61.07476 99.374638) (xy 61.274638 99.17476) (xy 61.431681 98.939728) (xy 61.539854 98.678575) (xy 61.595001 98.401336) + (xy 61.595001 98.118666) (xy 61.539854 97.841427) (xy 61.431681 97.580274) (xy 61.274638 97.345242) (xy 61.07476 97.145364) + (xy 60.842242 96.990001) (xy 61.07476 96.834638) (xy 61.274638 96.63476) (xy 61.431681 96.399728) (xy 61.539854 96.138575) + (xy 61.595001 95.861336) (xy 61.595001 95.578666) (xy 61.539854 95.301427) (xy 61.431681 95.040274) (xy 61.274638 94.805242) + (xy 61.07476 94.605364) (xy 60.842242 94.450001) (xy 61.07476 94.294638) (xy 61.274638 94.09476) (xy 61.431681 93.859728) + (xy 61.539854 93.598575) (xy 61.595001 93.321336) (xy 61.595001 93.038666) (xy 61.558689 92.856114) (xy 65.185014 89.22979) + (xy 65.214012 89.205992) (xy 65.308985 89.090267) (xy 65.379557 88.958238) (xy 65.423014 88.814977) (xy 65.434011 88.703324) + (xy 65.434011 88.703315) (xy 65.437687 88.665992) (xy 65.434011 88.628669) (xy 65.434011 80.338666) (xy 73.965001 80.338666) + (xy 73.965001 80.621336) (xy 74.020148 80.898575) (xy 74.128321 81.159728) (xy 74.285364 81.39476) (xy 74.485242 81.594638) + (xy 74.71776 81.750001) (xy 74.485242 81.905364) (xy 74.285364 82.105242) (xy 74.128321 82.340274) (xy 74.020148 82.601427) + (xy 73.965001 82.878666) (xy 73.965001 83.161336) (xy 74.020148 83.438575) (xy 74.128321 83.699728) (xy 74.285364 83.93476) + (xy 74.485242 84.134638) (xy 74.71776 84.290001) (xy 74.485242 84.445364) (xy 74.285364 84.645242) (xy 74.128321 84.880274) + (xy 74.020148 85.141427) (xy 73.965001 85.418666) (xy 73.965001 85.701336) (xy 74.020148 85.978575) (xy 74.128321 86.239728) + (xy 74.285364 86.47476) (xy 74.485242 86.674638) (xy 74.71776 86.830001) (xy 74.485242 86.985364) (xy 74.285364 87.185242) + (xy 74.128321 87.420274) (xy 74.020148 87.681427) (xy 73.965001 87.958666) (xy 73.965001 88.241336) (xy 74.020148 88.518575) + (xy 74.128321 88.779728) (xy 74.285364 89.01476) (xy 74.485242 89.214638) (xy 74.71776 89.370001) (xy 74.485242 89.525364) + (xy 74.285364 89.725242) (xy 74.128321 89.960274) (xy 74.020148 90.221427) (xy 73.965001 90.498666) (xy 73.965001 90.781336) + (xy 74.020148 91.058575) (xy 74.128321 91.319728) (xy 74.285364 91.55476) (xy 74.485242 91.754638) (xy 74.71776 91.910001) + (xy 74.485242 92.065364) (xy 74.285364 92.265242) (xy 74.128321 92.500274) (xy 74.020148 92.761427) (xy 73.965001 93.038666) + (xy 73.965001 93.321336) (xy 74.020148 93.598575) (xy 74.128321 93.859728) (xy 74.285364 94.09476) (xy 74.485242 94.294638) + (xy 74.71776 94.450001) (xy 74.485242 94.605364) (xy 74.285364 94.805242) (xy 74.128321 95.040274) (xy 74.020148 95.301427) + (xy 73.965001 95.578666) (xy 73.965001 95.861336) (xy 74.020148 96.138575) (xy 74.128321 96.399728) (xy 74.285364 96.63476) + (xy 74.485242 96.834638) (xy 74.71776 96.990001) (xy 74.485242 97.145364) (xy 74.285364 97.345242) (xy 74.128321 97.580274) + (xy 74.020148 97.841427) (xy 73.965001 98.118666) (xy 73.965001 98.401336) (xy 74.020148 98.678575) (xy 74.128321 98.939728) + (xy 74.285364 99.17476) (xy 74.485242 99.374638) (xy 74.71776 99.530001) (xy 74.485242 99.685364) (xy 74.285364 99.885242) + (xy 74.128321 100.120274) (xy 74.020148 100.381427) (xy 73.965001 100.658666) (xy 73.965001 100.941336) (xy 74.020148 101.218575) + (xy 74.128321 101.479728) (xy 74.285364 101.71476) (xy 74.485242 101.914638) (xy 74.71776 102.070001) (xy 74.485242 102.225364) + (xy 74.285364 102.425242) (xy 74.128321 102.660274) (xy 74.020148 102.921427) (xy 73.965001 103.198666) (xy 73.965001 103.481336) + (xy 74.020148 103.758575) (xy 74.128321 104.019728) (xy 74.285364 104.25476) (xy 74.485242 104.454638) (xy 74.71776 104.610001) + (xy 74.485242 104.765364) (xy 74.285364 104.965242) (xy 74.128321 105.200274) (xy 74.020148 105.461427) (xy 73.965001 105.738666) + (xy 73.965001 106.021336) (xy 74.020148 106.298575) (xy 74.128321 106.559728) (xy 74.285364 106.79476) (xy 74.485242 106.994638) + (xy 74.720274 107.151681) (xy 74.981427 107.259854) (xy 75.258666 107.315001) (xy 75.541336 107.315001) (xy 75.818575 107.259854) + (xy 76.079728 107.151681) (xy 76.31476 106.994638) (xy 76.514638 106.79476) (xy 76.618044 106.640001) (xy 81.531929 106.640001) + (xy 81.531929 106.730001) (xy 81.544189 106.854483) (xy 81.580499 106.974181) (xy 81.639464 107.084495) (xy 81.718816 107.181186) + (xy 81.815507 107.260538) (xy 81.925821 107.319503) (xy 82.045519 107.355813) (xy 82.170001 107.368073) (xy 83.870001 107.368073) + (xy 83.994483 107.355813) (xy 84.114181 107.319503) (xy 84.224495 107.260538) (xy 84.321186 107.181186) (xy 84.400538 107.084495) + (xy 84.459503 106.974181) (xy 84.495813 106.854483) (xy 84.508073 106.730001) (xy 84.508073 105.030001) (xy 84.495813 104.905519) + (xy 84.459503 104.785821) (xy 84.400538 104.675507) (xy 84.321186 104.578816) (xy 84.224495 104.499464) (xy 84.114181 104.440499) + (xy 84.041621 104.418488) (xy 84.173476 104.286633) (xy 84.335991 104.043412) (xy 84.447933 103.773159) (xy 84.505001 103.486261) + (xy 84.505001 103.193741) (xy 84.447933 102.906843) (xy 84.335991 102.63659) (xy 84.173476 102.393369) (xy 83.966633 102.186526) + (xy 83.792241 102.070001) (xy 83.966633 101.953476) (xy 84.173476 101.746633) (xy 84.335991 101.503412) (xy 84.447933 101.233159) + (xy 84.505001 100.946261) (xy 84.505001 100.653741) (xy 84.447933 100.366843) (xy 84.335991 100.09659) (xy 84.173476 99.853369) + (xy 83.966633 99.646526) (xy 83.792241 99.530001) (xy 83.966633 99.413476) (xy 84.173476 99.206633) (xy 84.335991 98.963412) + (xy 84.447933 98.693159) (xy 84.505001 98.406261) (xy 84.505001 98.113741) (xy 84.447933 97.826843) (xy 84.335991 97.55659) + (xy 84.173476 97.313369) (xy 83.966633 97.106526) (xy 83.792241 96.990001) (xy 83.966633 96.873476) (xy 84.173476 96.666633) + (xy 84.335991 96.423412) (xy 84.447933 96.153159) (xy 84.505001 95.866261) (xy 84.505001 95.573741) (xy 84.447933 95.286843) + (xy 84.335991 95.01659) (xy 84.173476 94.773369) (xy 83.966633 94.566526) (xy 83.792241 94.450001) (xy 83.966633 94.333476) + (xy 84.173476 94.126633) (xy 84.335991 93.883412) (xy 84.447933 93.613159) (xy 84.505001 93.326261) (xy 84.505001 93.033741) + (xy 84.447933 92.746843) (xy 84.335991 92.47659) (xy 84.173476 92.233369) (xy 83.966633 92.026526) (xy 83.792241 91.910001) + (xy 83.966633 91.793476) (xy 84.173476 91.586633) (xy 84.335991 91.343412) (xy 84.447933 91.073159) (xy 84.505001 90.786261) + (xy 84.505001 90.493741) (xy 84.447933 90.206843) (xy 84.335991 89.93659) (xy 84.173476 89.693369) (xy 83.966633 89.486526) + (xy 83.792241 89.370001) (xy 83.966633 89.253476) (xy 84.173476 89.046633) (xy 84.335991 88.803412) (xy 84.447933 88.533159) + (xy 84.505001 88.246261) (xy 84.505001 87.953741) (xy 84.447933 87.666843) (xy 84.335991 87.39659) (xy 84.173476 87.153369) + (xy 83.966633 86.946526) (xy 83.792241 86.830001) (xy 83.966633 86.713476) (xy 84.173476 86.506633) (xy 84.335991 86.263412) + (xy 84.447933 85.993159) (xy 84.505001 85.706261) (xy 84.505001 85.413741) (xy 84.447933 85.126843) (xy 84.335991 84.85659) + (xy 84.173476 84.613369) (xy 83.966633 84.406526) (xy 83.792241 84.290001) (xy 83.966633 84.173476) (xy 84.173476 83.966633) + (xy 84.335991 83.723412) (xy 84.447933 83.453159) (xy 84.505001 83.166261) (xy 84.505001 82.873741) (xy 84.447933 82.586843) + (xy 84.335991 82.31659) (xy 84.173476 82.073369) (xy 83.966633 81.866526) (xy 83.792241 81.750001) (xy 83.966633 81.633476) + (xy 84.173476 81.426633) (xy 84.335991 81.183412) (xy 84.447933 80.913159) (xy 84.505001 80.626261) (xy 84.505001 80.333741) + (xy 84.447933 80.046843) (xy 84.335991 79.77659) (xy 84.173476 79.533369) (xy 83.966633 79.326526) (xy 83.784467 79.204806) + (xy 83.901356 79.135179) (xy 84.117589 78.94027) (xy 84.291642 78.706921) (xy 84.416826 78.4441) (xy 84.461477 78.296891) + (xy 84.340156 78.067001) (xy 83.147001 78.067001) (xy 83.147001 78.087001) (xy 82.893001 78.087001) (xy 82.893001 78.067001) + (xy 81.699846 78.067001) (xy 81.578525 78.296891) (xy 81.623176 78.4441) (xy 81.74836 78.706921) (xy 81.922413 78.94027) + (xy 82.138646 79.135179) (xy 82.255535 79.204806) (xy 82.073369 79.326526) (xy 81.866526 79.533369) (xy 81.741823 79.720001) + (xy 76.618044 79.720001) (xy 76.514638 79.565242) (xy 76.31476 79.365364) (xy 76.079728 79.208321) (xy 76.069136 79.203934) + (xy 76.255132 79.092386) (xy 76.46352 78.903415) (xy 76.631038 78.677421) (xy 76.751247 78.423088) (xy 76.791905 78.28904) + (xy 76.669916 78.067001) (xy 75.527001 78.067001) (xy 75.527001 78.087001) (xy 75.273001 78.087001) (xy 75.273001 78.067001) + (xy 74.130086 78.067001) (xy 74.008097 78.28904) (xy 74.048755 78.423088) (xy 74.168964 78.677421) (xy 74.336482 78.903415) + (xy 74.54487 79.092386) (xy 74.730866 79.203934) (xy 74.720274 79.208321) (xy 74.485242 79.365364) (xy 74.285364 79.565242) + (xy 74.128321 79.800274) (xy 74.020148 80.061427) (xy 73.965001 80.338666) (xy 65.434011 80.338666) (xy 65.434011 71.329202) + (xy 66.584547 70.178666) (xy 73.965001 70.178666) (xy 73.965001 70.461336) (xy 74.020148 70.738575) (xy 74.128321 70.999728) + (xy 74.285364 71.23476) (xy 74.485242 71.434638) (xy 74.71776 71.590001) (xy 74.485242 71.745364) (xy 74.285364 71.945242) + (xy 74.128321 72.180274) (xy 74.020148 72.441427) (xy 73.965001 72.718666) (xy 73.965001 73.001336) (xy 74.020148 73.278575) + (xy 74.128321 73.539728) (xy 74.285364 73.77476) (xy 74.485242 73.974638) (xy 74.71776 74.130001) (xy 74.485242 74.285364) + (xy 74.285364 74.485242) (xy 74.128321 74.720274) (xy 74.020148 74.981427) (xy 73.965001 75.258666) (xy 73.965001 75.541336) + (xy 74.020148 75.818575) (xy 74.128321 76.079728) (xy 74.285364 76.31476) (xy 74.485242 76.514638) (xy 74.720274 76.671681) + (xy 74.730866 76.676068) (xy 74.54487 76.787616) (xy 74.336482 76.976587) (xy 74.168964 77.202581) (xy 74.048755 77.456914) + (xy 74.008097 77.590962) (xy 74.130086 77.813001) (xy 75.273001 77.813001) (xy 75.273001 77.793001) (xy 75.527001 77.793001) + (xy 75.527001 77.813001) (xy 76.669916 77.813001) (xy 76.791905 77.590962) (xy 76.751247 77.456914) (xy 76.631038 77.202581) + (xy 76.46352 76.976587) (xy 76.255132 76.787616) (xy 76.069136 76.676068) (xy 76.079728 76.671681) (xy 76.31476 76.514638) + (xy 76.514638 76.31476) (xy 76.618044 76.160001) (xy 81.741823 76.160001) (xy 81.866526 76.346633) (xy 82.073369 76.553476) + (xy 82.255535 76.675196) (xy 82.138646 76.744823) (xy 81.922413 76.939732) (xy 81.74836 77.173081) (xy 81.623176 77.435902) + (xy 81.578525 77.583111) (xy 81.699846 77.813001) (xy 82.893001 77.813001) (xy 82.893001 77.793001) (xy 83.147001 77.793001) + (xy 83.147001 77.813001) (xy 84.340156 77.813001) (xy 84.461477 77.583111) (xy 84.416826 77.435902) (xy 84.291642 77.173081) + (xy 84.117589 76.939732) (xy 83.901356 76.744823) (xy 83.784467 76.675196) (xy 83.966633 76.553476) (xy 84.173476 76.346633) + (xy 84.335991 76.103412) (xy 84.447933 75.833159) (xy 84.505001 75.546261) (xy 84.505001 75.253741) (xy 84.447933 74.966843) + (xy 84.335991 74.69659) (xy 84.173476 74.453369) (xy 83.966633 74.246526) (xy 83.792241 74.130001) (xy 83.966633 74.013476) + (xy 84.173476 73.806633) (xy 84.335991 73.563412) (xy 84.447933 73.293159) (xy 84.505001 73.006261) (xy 84.505001 72.713741) + (xy 84.447933 72.426843) (xy 84.335991 72.15659) (xy 84.173476 71.913369) (xy 83.966633 71.706526) (xy 83.792241 71.590001) + (xy 83.966633 71.473476) (xy 84.173476 71.266633) (xy 84.335991 71.023412) (xy 84.447933 70.753159) (xy 84.505001 70.466261) + (xy 84.505001 70.173741) (xy 84.447933 69.886843) (xy 84.335991 69.61659) (xy 84.173476 69.373369) (xy 83.966633 69.166526) + (xy 83.723412 69.004011) (xy 83.453159 68.892069) (xy 83.166261 68.835001) (xy 82.873741 68.835001) (xy 82.586843 68.892069) + (xy 82.31659 69.004011) (xy 82.073369 69.166526) (xy 81.866526 69.373369) (xy 81.775231 69.510001) (xy 76.584636 69.510001) + (xy 76.514638 69.405242) (xy 76.31476 69.205364) (xy 76.079728 69.048321) (xy 75.818575 68.940148) (xy 75.541336 68.885001) + (xy 75.258666 68.885001) (xy 74.981427 68.940148) (xy 74.720274 69.048321) (xy 74.485242 69.205364) (xy 74.285364 69.405242) + (xy 74.128321 69.640274) (xy 74.020148 69.901427) (xy 73.965001 70.178666) (xy 66.584547 70.178666) (xy 67.918202 68.845012) + (xy 75.30467 68.845012) (xy 75.341992 68.848688) (xy 75.379314 68.845012) (xy 75.379325 68.845012) (xy 75.490978 68.834015) + (xy 75.634239 68.790558) (xy 75.766268 68.719986) (xy 75.881993 68.625013) (xy 75.905796 68.596009) (xy 80.991004 63.510802) + (xy 81.020002 63.487004) (xy 81.086852 63.405547) (xy 81.114975 63.37128) (xy 81.185547 63.23925) (xy 81.194115 63.211004) + (xy 81.229004 63.095989) (xy 81.240001 62.984336) (xy 81.240001 62.984326) (xy 81.243677 62.947004) (xy 81.240001 62.909681) + (xy 81.240001 60.197334) (xy 81.243678 60.160001) (xy 81.229004 60.011015) (xy 81.185547 59.867754) (xy 81.114975 59.735725) + (xy 81.020002 59.62) (xy 80.904277 59.525027) (xy 80.772248 59.454455) (xy 80.628987 59.410998) (xy 80.517334 59.400001) + (xy 80.480001 59.396324) (xy 80.442668 59.400001) (xy 80.307776 59.400001) (xy 80.246963 59.308988) (xy 80.061014 59.123039) + (xy 79.84236 58.97694) (xy 79.599406 58.876305) (xy 79.341487 58.825001) (xy 79.078515 58.825001) (xy 78.820596 58.876305) + (xy 78.577642 58.97694) (xy 78.358988 59.123039) (xy 78.173039 59.308988) (xy 78.02694 59.527642) (xy 77.926305 59.770596) + (xy 77.875001 60.028515) (xy 77.875001 60.291487) (xy 77.926305 60.549406) (xy 78.02694 60.79236) (xy 78.173039 61.011014) + (xy 78.358988 61.196963) (xy 78.577642 61.343062) (xy 78.787531 61.430001) (xy 78.577642 61.51694) (xy 78.358988 61.663039) + (xy 78.173039 61.848988) (xy 78.02694 62.067642) (xy 77.926305 62.310596) (xy 77.875001 62.568515) (xy 77.875001 62.831487) + (xy 77.896356 62.938844) (xy 73.960199 66.875002) (xy 67.454322 66.875002) (xy 67.416999 66.871326) (xy 67.379676 66.875002) + (xy 67.379667 66.875002) (xy 67.268014 66.885999) (xy 67.124753 66.929456) (xy 66.992724 67.000028) (xy 66.992722 67.000029) + (xy 66.992723 67.000029) (xy 66.905996 67.071203) (xy 66.905992 67.071207) (xy 66.876999 67.095001) (xy 66.853205 67.123994) + (xy 63.713004 70.264197) (xy 63.684 70.288) (xy 63.657738 70.320001) (xy 63.589027 70.403725) (xy 63.55125 70.4744) + (xy 63.518455 70.535755) (xy 63.474998 70.679016) (xy 63.464001 70.790669) (xy 63.464001 70.790679) (xy 63.460325 70.828001) + (xy 63.464001 70.865323) (xy 63.464002 81.181198) (xy 61.595001 83.0502) (xy 61.595001 82.878666) (xy 61.539854 82.601427) + (xy 61.431681 82.340274) (xy 61.274638 82.105242) (xy 61.07476 81.905364) (xy 60.842242 81.750001) (xy 61.07476 81.594638) + (xy 61.274638 81.39476) (xy 61.431681 81.159728) (xy 61.539854 80.898575) (xy 61.595001 80.621336) (xy 61.595001 80.338666) + (xy 61.539854 80.061427) (xy 61.431681 79.800274) (xy 61.274638 79.565242) (xy 61.07476 79.365364) (xy 60.842242 79.210001) + (xy 61.07476 79.054638) (xy 61.274638 78.85476) (xy 61.431681 78.619728) (xy 61.539854 78.358575) (xy 61.595001 78.081336) + (xy 61.595001 77.798666) (xy 61.539854 77.521427) (xy 61.431681 77.260274) (xy 61.274638 77.025242) (xy 61.07476 76.825364) + (xy 60.842242 76.670001) (xy 61.07476 76.514638) (xy 61.274638 76.31476) (xy 61.431681 76.079728) (xy 61.539854 75.818575) + (xy 61.595001 75.541336) (xy 61.595001 75.258666) (xy 61.539854 74.981427) (xy 61.431681 74.720274) (xy 61.274638 74.485242) + (xy 61.07476 74.285364) (xy 60.842242 74.130001) (xy 61.07476 73.974638) (xy 61.274638 73.77476) (xy 61.431681 73.539728) + (xy 61.539854 73.278575) (xy 61.595001 73.001336) (xy 61.595001 72.718666) (xy 61.539854 72.441427) (xy 61.431681 72.180274) + (xy 61.274638 71.945242) (xy 61.07604 71.746644) (xy 61.084483 71.745813) (xy 61.204181 71.709503) (xy 61.314495 71.650538) + (xy 61.411186 71.571186) (xy 61.490538 71.474495) (xy 61.549503 71.364181) (xy 61.585813 71.244483) (xy 61.598073 71.120001) + (xy 61.598073 69.520001) (xy 61.585813 69.395519) (xy 61.549503 69.275821) (xy 61.490538 69.165507) (xy 61.411186 69.068816) + (xy 61.314495 68.989464) (xy 61.204181 68.930499) (xy 61.084483 68.894189) (xy 60.960001 68.881929) (xy 59.360001 68.881929) + (xy 59.235519 68.894189) (xy 59.115821 68.930499) (xy 59.005507 68.989464) (xy 58.908816 69.068816) (xy 58.829464 69.165507) + (xy 58.770499 69.275821) (xy 58.734189 69.395519) (xy 58.721929 69.520001) (xy 58.721929 69.560001) (xy 53.818179 69.560001) + (xy 53.693476 69.373369) (xy 53.486633 69.166526) (xy 53.243412 69.004011) (xy 52.973159 68.892069) (xy 52.686261 68.835001) + (xy 52.393741 68.835001) (xy 52.106843 68.892069) (xy 51.83659 69.004011) (xy 51.593369 69.166526) (xy 51.386526 69.373369) + (xy 51.224011 69.61659) (xy 51.112069 69.886843) (xy 51.055001 70.173741) (xy 51.055001 70.466261) (xy 51.112069 70.753159) + (xy 51.224011 71.023412) (xy 51.386526 71.266633) (xy 51.593369 71.473476) (xy 51.767761 71.590001) (xy 51.593369 71.706526) + (xy 51.386526 71.913369) (xy 51.224011 72.15659) (xy 51.112069 72.426843) (xy 51.055001 72.713741) (xy 51.055001 73.006261) + (xy 51.112069 73.293159) (xy 51.224011 73.563412) (xy 51.386526 73.806633) (xy 51.593369 74.013476) (xy 51.767761 74.130001) + (xy 51.593369 74.246526) (xy 51.386526 74.453369) (xy 51.224011 74.69659) (xy 51.112069 74.966843) (xy 51.055001 75.253741) + (xy 51.055001 75.546261) (xy 51.112069 75.833159) (xy 51.224011 76.103412) (xy 51.386526 76.346633) (xy 51.593369 76.553476) + (xy 51.767761 76.670001) (xy 51.593369 76.786526) (xy 51.386526 76.993369) (xy 51.224011 77.23659) (xy 51.112069 77.506843) + (xy 51.055001 77.793741) (xy 51.055001 78.086261) (xy 51.112069 78.373159) (xy 51.224011 78.643412) (xy 51.386526 78.886633) + (xy 51.593369 79.093476) (xy 51.767761 79.210001) (xy 51.593369 79.326526) (xy 51.386526 79.533369) (xy 51.224011 79.77659) + (xy 51.112069 80.046843) (xy 51.055001 80.333741) (xy 51.055001 80.626261) (xy 51.112069 80.913159) (xy 51.224011 81.183412) + (xy 51.386526 81.426633) (xy 51.593369 81.633476) (xy 51.767761 81.750001) (xy 51.593369 81.866526) (xy 51.386526 82.073369) + (xy 51.224011 82.31659) (xy 51.112069 82.586843) (xy 51.055001 82.873741) (xy 51.055001 83.166261) (xy 51.112069 83.453159) + (xy 51.224011 83.723412) (xy 51.386526 83.966633) (xy 51.593369 84.173476) (xy 51.767761 84.290001) (xy 51.593369 84.406526) + (xy 51.386526 84.613369) (xy 51.224011 84.85659) (xy 51.112069 85.126843) (xy 51.055001 85.413741) (xy 51.055001 85.706261) + (xy 51.112069 85.993159) (xy 51.224011 86.263412) (xy 51.386526 86.506633) (xy 51.593369 86.713476) (xy 51.767761 86.830001) + (xy 51.593369 86.946526) (xy 51.386526 87.153369) (xy 51.224011 87.39659) (xy 51.112069 87.666843) (xy 51.055001 87.953741) + (xy 51.055001 88.246261) (xy 51.112069 88.533159) (xy 51.224011 88.803412) (xy 51.386526 89.046633) (xy 51.593369 89.253476) + (xy 51.767761 89.370001) (xy 51.593369 89.486526) (xy 51.386526 89.693369) (xy 51.224011 89.93659) (xy 51.112069 90.206843) + (xy 51.055001 90.493741) (xy 51.055001 90.786261) (xy 51.112069 91.073159) (xy 51.224011 91.343412) (xy 51.386526 91.586633) + (xy 51.593369 91.793476) (xy 51.767761 91.910001) (xy 51.593369 92.026526) (xy 51.386526 92.233369) (xy 51.224011 92.47659) + (xy 51.112069 92.746843) (xy 51.055001 93.033741) (xy 51.055001 93.326261) (xy 51.112069 93.613159) (xy 51.224011 93.883412) + (xy 51.386526 94.126633) (xy 51.593369 94.333476) (xy 51.767761 94.450001) (xy 51.593369 94.566526) (xy 51.386526 94.773369) + (xy 51.224011 95.01659) (xy 51.112069 95.286843) (xy 51.055001 95.573741) (xy 51.055001 95.866261) (xy 51.112069 96.153159) + (xy 51.224011 96.423412) (xy 51.386526 96.666633) (xy 51.593369 96.873476) (xy 51.767761 96.990001) (xy 51.593369 97.106526) + (xy 51.386526 97.313369) (xy 51.224011 97.55659) (xy 51.112069 97.826843) (xy 51.055001 98.113741) (xy 51.055001 98.406261) + (xy 51.112069 98.693159) (xy 51.224011 98.963412) (xy 51.386526 99.206633) (xy 51.593369 99.413476) (xy 51.767761 99.530001) + (xy 51.593369 99.646526) (xy 51.386526 99.853369) (xy 51.224011 100.09659) (xy 51.112069 100.366843) (xy 51.055001 100.653741) + (xy 51.055001 100.946261) (xy 51.112069 101.233159) (xy 51.224011 101.503412) (xy 51.386526 101.746633) (xy 51.593369 101.953476) + (xy 51.767761 102.070001) (xy 51.593369 102.186526) (xy 51.386526 102.393369) (xy 51.224011 102.63659) (xy 51.112069 102.906843) + (xy 51.055001 103.193741) (xy 51.055001 103.486261) (xy 51.112069 103.773159) (xy 51.224011 104.043412) (xy 51.386526 104.286633) + (xy 51.518381 104.418488) (xy 51.445821 104.440499) (xy 51.335507 104.499464) (xy 51.238816 104.578816) (xy 51.159464 104.675507) + (xy 51.100499 104.785821) (xy 51.064189 104.905519) (xy 51.051929 105.030001) (xy 50.660001 105.030001) (xy 50.660001 58.890001) + (xy 58.61934 58.890001) (xy 58.64193 59.119361) (xy 58.708832 59.339907) (xy 58.807767 59.525001) (xy 58.708832 59.710095) + (xy 58.64193 59.930641) (xy 58.61934 60.160001) (xy 58.64193 60.389361) (xy 58.708832 60.609907) (xy 58.807767 60.795001) + (xy 58.708832 60.980095) (xy 58.64193 61.200641) (xy 58.61934 61.430001) (xy 58.64193 61.659361) (xy 58.702871 61.860258) + (xy 58.670499 61.920821) (xy 58.634189 62.040519) (xy 58.621929 62.165001) (xy 58.621929 63.235001) (xy 58.634189 63.359483) + (xy 58.670499 63.479181) (xy 58.729464 63.589495) (xy 58.808816 63.686186) (xy 58.905507 63.765538) (xy 59.015821 63.824503) + (xy 59.135519 63.860813) (xy 59.260001 63.873073) (xy 61.060001 63.873073) (xy 61.184483 63.860813) (xy 61.304181 63.824503) + (xy 61.414495 63.765538) (xy 61.511186 63.686186) (xy 61.590538 63.589495) (xy 61.649503 63.479181) (xy 61.655321 63.460001) + (xy 70.492226 63.460001) (xy 70.553039 63.551014) (xy 70.738988 63.736963) (xy 70.957642 63.883062) (xy 71.200596 63.983697) + (xy 71.458515 64.035001) (xy 71.721487 64.035001) (xy 71.979406 63.983697) (xy 72.22236 63.883062) (xy 72.441014 63.736963) + (xy 72.626963 63.551014) (xy 72.773062 63.33236) (xy 72.873697 63.089406) (xy 72.925001 62.831487) (xy 72.925001 62.568515) + (xy 72.873697 62.310596) (xy 72.773062 62.067642) (xy 72.626963 61.848988) (xy 72.441014 61.663039) (xy 72.22236 61.51694) + (xy 72.012471 61.430001) (xy 72.22236 61.343062) (xy 72.441014 61.196963) (xy 72.626963 61.011014) (xy 72.773062 60.79236) + (xy 72.873697 60.549406) (xy 72.925001 60.291487) (xy 72.925001 60.028515) (xy 72.873697 59.770596) (xy 72.773062 59.527642) + (xy 72.626963 59.308988) (xy 72.441014 59.123039) (xy 72.22236 58.97694) (xy 71.979406 58.876305) (xy 71.721487 58.825001) + (xy 71.458515 58.825001) (xy 71.200596 58.876305) (xy 70.957642 58.97694) (xy 70.738988 59.123039) (xy 70.553039 59.308988) + (xy 70.492226 59.400001) (xy 64.874014 59.400001) (xy 64.887206 59.380257) (xy 64.965227 59.191899) (xy 65.005001 58.99194) + (xy 65.005001 58.929802) (xy 68.729803 55.205001) (xy 81.712668 55.205001) (xy 81.750001 55.208678) (xy 81.898987 55.194004) + (xy 82.042248 55.150547) (xy 82.174277 55.079975) (xy 82.290002 54.985002) (xy 82.384975 54.869277) (xy 82.455547 54.737248) + (xy 82.499004 54.593987) (xy 82.510001 54.482334) (xy 82.513678 54.445001) (xy 82.510001 54.407668) (xy 82.510001 53.243712) + (xy 82.553938 53.199775) (xy 82.667206 53.030257) (xy 82.745227 52.841899) (xy 82.785001 52.64194) (xy 82.785001 52.438062) + (xy 82.745227 52.238103) (xy 82.667206 52.049745) (xy 82.553938 51.880227) (xy 82.409775 51.736064) (xy 82.240257 51.622796) + (xy 82.051899 51.544775) (xy 81.85194 51.505001) (xy 81.648062 51.505001) (xy 81.448103 51.544775) (xy 81.259745 51.622796) + (xy 81.090227 51.736064) (xy 80.946064 51.880227) (xy 80.832796 52.049745) (xy 80.754775 52.238103) (xy 80.715001 52.438062) + (xy 80.715001 52.64194) (xy 80.754775 52.841899) (xy 80.832796 53.030257) (xy 80.946064 53.199775) (xy 80.990001 53.243712) + (xy 80.990002 53.685001) (xy 76.812339 53.685001) (xy 76.839503 53.634181) (xy 76.875813 53.514483) (xy 76.888073 53.390001) + (xy 76.888073 51.690001) (xy 76.875813 51.565519) (xy 76.839503 51.445821) (xy 76.780538 51.335507) (xy 76.701186 51.238816) + (xy 76.604495 51.159464) (xy 76.494181 51.100499) (xy 76.374483 51.064189) (xy 76.250001 51.051929) (xy 74.550001 51.051929) + (xy 74.425519 51.064189) (xy 74.305821 51.100499) (xy 74.195507 51.159464) (xy 74.098816 51.238816) (xy 74.019464 51.335507) + (xy 73.960499 51.445821) (xy 73.938488 51.518381) (xy 73.806633 51.386526) (xy 73.563412 51.224011) (xy 73.293159 51.112069) + (xy 73.006261 51.055001) (xy 72.713741 51.055001) (xy 72.426843 51.112069) (xy 72.15659 51.224011) (xy 71.913369 51.386526) + (xy 71.706526 51.593369) (xy 71.590001 51.767761) (xy 71.473476 51.593369) (xy 71.266633 51.386526) (xy 71.023412 51.224011) + (xy 70.753159 51.112069) (xy 70.466261 51.055001) (xy 70.173741 51.055001) (xy 69.886843 51.112069) (xy 69.61659 51.224011) + (xy 69.373369 51.386526) (xy 69.166526 51.593369) (xy 69.050001 51.767761) (xy 68.933476 51.593369) (xy 68.726633 51.386526) + (xy 68.483412 51.224011) (xy 68.213159 51.112069) (xy 67.926261 51.055001) (xy 67.633741 51.055001) (xy 67.346843 51.112069) + (xy 67.07659 51.224011) (xy 66.833369 51.386526) (xy 66.626526 51.593369) (xy 66.510001 51.767761) (xy 66.393476 51.593369) + (xy 66.186633 51.386526) (xy 65.943412 51.224011) (xy 65.673159 51.112069) (xy 65.386261 51.055001) (xy 65.093741 51.055001) + (xy 64.806843 51.112069) (xy 64.53659 51.224011) (xy 64.293369 51.386526) (xy 64.086526 51.593369) (xy 63.970001 51.767761) + (xy 63.853476 51.593369) (xy 63.646633 51.386526) (xy 63.403412 51.224011) (xy 63.133159 51.112069) (xy 62.846261 51.055001) + (xy 62.553741 51.055001) (xy 62.266843 51.112069) (xy 61.99659 51.224011) (xy 61.753369 51.386526) (xy 61.546526 51.593369) + (xy 61.424806 51.775535) (xy 61.355179 51.658646) (xy 61.16027 51.442413) (xy 60.926921 51.26836) (xy 60.6641 51.143176) + (xy 60.516891 51.098525) (xy 60.287001 51.219846) (xy 60.287001 52.413001) (xy 60.307001 52.413001) (xy 60.307001 52.667001) + (xy 60.287001 52.667001) (xy 60.287001 53.860156) (xy 60.516891 53.981477) (xy 60.6641 53.936826) (xy 60.926921 53.811642) + (xy 61.16027 53.637589) (xy 61.355179 53.421356) (xy 61.424806 53.304467) (xy 61.546526 53.486633) (xy 61.753369 53.693476) + (xy 61.99659 53.855991) (xy 62.266843 53.967933) (xy 62.553741 54.025001) (xy 62.846261 54.025001) (xy 63.133159 53.967933) + (xy 63.403412 53.855991) (xy 63.646633 53.693476) (xy 63.853476 53.486633) (xy 63.970001 53.312241) (xy 64.086526 53.486633) + (xy 64.293369 53.693476) (xy 64.53659 53.855991) (xy 64.806843 53.967933) (xy 65.093741 54.025001) (xy 65.386261 54.025001) + (xy 65.673159 53.967933) (xy 65.943412 53.855991) (xy 66.186633 53.693476) (xy 66.393476 53.486633) (xy 66.510001 53.312241) + (xy 66.626526 53.486633) (xy 66.833369 53.693476) (xy 67.07659 53.855991) (xy 67.346843 53.967933) (xy 67.633741 54.025001) + (xy 67.760199 54.025001) (xy 63.9302 57.855001) (xy 63.868062 57.855001) (xy 63.668103 57.894775) (xy 63.479745 57.972796) + (xy 63.310227 58.086064) (xy 63.166064 58.230227) (xy 63.052796 58.399745) (xy 62.974775 58.588103) (xy 62.935001 58.788062) + (xy 62.935001 58.99194) (xy 62.974775 59.191899) (xy 63.052796 59.380257) (xy 63.065988 59.400001) (xy 61.579049 59.400001) + (xy 61.61117 59.339907) (xy 61.678072 59.119361) (xy 61.700662 58.890001) (xy 61.678072 58.660641) (xy 61.61117 58.440095) + (xy 61.502527 58.236839) (xy 61.356319 58.058683) (xy 61.178163 57.912475) (xy 60.974907 57.803832) (xy 60.754361 57.73693) + (xy 60.582478 57.720001) (xy 59.737524 57.720001) (xy 59.565641 57.73693) (xy 59.345095 57.803832) (xy 59.141839 57.912475) + (xy 58.963683 58.058683) (xy 58.817475 58.236839) (xy 58.708832 58.440095) (xy 58.64193 58.660641) (xy 58.61934 58.890001) + (xy 50.660001 58.890001) (xy 50.660001 52.896892) (xy 58.71852 52.896892) (xy 58.815844 53.171253) (xy 58.964823 53.421356) + (xy 59.159732 53.637589) (xy 59.393081 53.811642) (xy 59.655902 53.936826) (xy 59.803111 53.981477) (xy 60.033001 53.860156) + (xy 60.033001 52.667001) (xy 58.839187 52.667001) (xy 58.71852 52.896892) (xy 50.660001 52.896892) (xy 50.660001 52.57228) + (xy 50.698159 52.18311) (xy 58.71852 52.18311) (xy 58.839187 52.413001) (xy 60.033001 52.413001) (xy 60.033001 51.219846) + (xy 59.803111 51.098525) (xy 59.655902 51.143176) (xy 59.393081 51.26836) (xy 59.159732 51.442413) (xy 58.964823 51.658646) + (xy 58.815844 51.908749) (xy 58.71852 52.18311) (xy 50.698159 52.18311) (xy 50.69891 52.175456) (xy 50.804781 51.824793) + (xy 50.976745 51.501376) (xy 51.208255 51.217517) (xy 51.490487 50.984036) (xy 51.812696 50.809818) (xy 52.162615 50.701499) + (xy 52.557444 50.660001) (xy 82.987722 50.660001) + ) + ) + ) + (zone (net 35) (net_name Board_2-GND) (layer F.Cu) (tstamp 601067D8) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.12001 118.580001) (xy 88.56001 118.580001) (xy 88.56001 50.000001) (xy 124.12001 50.000001) + ) + ) + (filled_polygon + (pts + (xy 105.351733 50.730026) (xy 105.351731 50.730027) (xy 105.351732 50.730027) (xy 105.265005 50.801201) (xy 105.265001 50.801205) + (xy 105.236008 50.824999) (xy 105.212214 50.853992) (xy 104.706502 51.359705) (xy 104.503421 51.224011) (xy 104.233168 51.112069) + (xy 103.94627 51.055001) (xy 103.65375 51.055001) (xy 103.366852 51.112069) (xy 103.096599 51.224011) (xy 102.853378 51.386526) + (xy 102.646535 51.593369) (xy 102.524815 51.775535) (xy 102.455188 51.658646) (xy 102.260279 51.442413) (xy 102.02693 51.26836) + (xy 101.764109 51.143176) (xy 101.6169 51.098525) (xy 101.38701 51.219846) (xy 101.38701 52.413001) (xy 101.40701 52.413001) + (xy 101.40701 52.667001) (xy 101.38701 52.667001) (xy 101.38701 53.860156) (xy 101.6169 53.981477) (xy 101.764109 53.936826) + (xy 102.02693 53.811642) (xy 102.260279 53.637589) (xy 102.455188 53.421356) (xy 102.524815 53.304467) (xy 102.646535 53.486633) + (xy 102.853378 53.693476) (xy 103.04001 53.818179) (xy 103.04001 57.985989) (xy 103.020266 57.972796) (xy 102.831908 57.894775) + (xy 102.631949 57.855001) (xy 102.428071 57.855001) (xy 102.228112 57.894775) (xy 102.039754 57.972796) (xy 101.870236 58.086064) + (xy 101.726073 58.230227) (xy 101.612805 58.399745) (xy 101.534784 58.588103) (xy 101.49501 58.788062) (xy 101.49501 58.99194) + (xy 101.534784 59.191899) (xy 101.612805 59.380257) (xy 101.726073 59.549775) (xy 101.77001 59.593712) (xy 101.770011 76.355198) + (xy 100.014138 78.111072) (xy 99.989925 78.067001) (xy 98.84701 78.067001) (xy 98.84701 78.087001) (xy 98.59301 78.087001) + (xy 98.59301 78.067001) (xy 97.450095 78.067001) (xy 97.328106 78.28904) (xy 97.368764 78.423088) (xy 97.488973 78.677421) + (xy 97.656491 78.903415) (xy 97.864879 79.092386) (xy 98.050875 79.203934) (xy 98.040283 79.208321) (xy 97.805251 79.365364) + (xy 97.605373 79.565242) (xy 97.44833 79.800274) (xy 97.340157 80.061427) (xy 97.28501 80.338666) (xy 97.28501 80.621336) + (xy 97.340157 80.898575) (xy 97.44833 81.159728) (xy 97.605373 81.39476) (xy 97.805251 81.594638) (xy 98.037769 81.750001) + (xy 97.805251 81.905364) (xy 97.605373 82.105242) (xy 97.44833 82.340274) (xy 97.340157 82.601427) (xy 97.28501 82.878666) + (xy 97.28501 83.161336) (xy 97.340157 83.438575) (xy 97.44833 83.699728) (xy 97.605373 83.93476) (xy 97.805251 84.134638) + (xy 98.037769 84.290001) (xy 97.805251 84.445364) (xy 97.605373 84.645242) (xy 97.44833 84.880274) (xy 97.340157 85.141427) + (xy 97.28501 85.418666) (xy 97.28501 85.701336) (xy 97.340157 85.978575) (xy 97.44833 86.239728) (xy 97.605373 86.47476) + (xy 97.805251 86.674638) (xy 98.037769 86.830001) (xy 97.805251 86.985364) (xy 97.605373 87.185242) (xy 97.44833 87.420274) + (xy 97.340157 87.681427) (xy 97.28501 87.958666) (xy 97.28501 88.241336) (xy 97.340157 88.518575) (xy 97.44833 88.779728) + (xy 97.605373 89.01476) (xy 97.805251 89.214638) (xy 98.037769 89.370001) (xy 97.805251 89.525364) (xy 97.605373 89.725242) + (xy 97.44833 89.960274) (xy 97.340157 90.221427) (xy 97.28501 90.498666) (xy 97.28501 90.781336) (xy 97.340157 91.058575) + (xy 97.44833 91.319728) (xy 97.605373 91.55476) (xy 97.805251 91.754638) (xy 98.037769 91.910001) (xy 97.805251 92.065364) + (xy 97.605373 92.265242) (xy 97.44833 92.500274) (xy 97.340157 92.761427) (xy 97.28501 93.038666) (xy 97.28501 93.321336) + (xy 97.340157 93.598575) (xy 97.44833 93.859728) (xy 97.605373 94.09476) (xy 97.805251 94.294638) (xy 98.037769 94.450001) + (xy 97.805251 94.605364) (xy 97.605373 94.805242) (xy 97.44833 95.040274) (xy 97.340157 95.301427) (xy 97.28501 95.578666) + (xy 97.28501 95.861336) (xy 97.340157 96.138575) (xy 97.44833 96.399728) (xy 97.605373 96.63476) (xy 97.805251 96.834638) + (xy 98.037769 96.990001) (xy 97.805251 97.145364) (xy 97.605373 97.345242) (xy 97.44833 97.580274) (xy 97.340157 97.841427) + (xy 97.28501 98.118666) (xy 97.28501 98.401336) (xy 97.340157 98.678575) (xy 97.44833 98.939728) (xy 97.605373 99.17476) + (xy 97.805251 99.374638) (xy 98.037769 99.530001) (xy 97.805251 99.685364) (xy 97.605373 99.885242) (xy 97.44833 100.120274) + (xy 97.340157 100.381427) (xy 97.28501 100.658666) (xy 97.28501 100.941336) (xy 97.340157 101.218575) (xy 97.44833 101.479728) + (xy 97.605373 101.71476) (xy 97.805251 101.914638) (xy 98.037769 102.070001) (xy 97.805251 102.225364) (xy 97.605373 102.425242) + (xy 97.44833 102.660274) (xy 97.340157 102.921427) (xy 97.28501 103.198666) (xy 97.28501 103.481336) (xy 97.340157 103.758575) + (xy 97.44833 104.019728) (xy 97.605373 104.25476) (xy 97.805251 104.454638) (xy 98.037769 104.610001) (xy 97.805251 104.765364) + (xy 97.605373 104.965242) (xy 97.44833 105.200274) (xy 97.340157 105.461427) (xy 97.28501 105.738666) (xy 97.28501 106.021336) + (xy 97.340157 106.298575) (xy 97.44833 106.559728) (xy 97.605373 106.79476) (xy 97.805251 106.994638) (xy 98.040283 107.151681) + (xy 98.301436 107.259854) (xy 98.578675 107.315001) (xy 98.861345 107.315001) (xy 99.138584 107.259854) (xy 99.399737 107.151681) + (xy 99.634769 106.994638) (xy 99.834647 106.79476) (xy 99.99169 106.559728) (xy 100.099863 106.298575) (xy 100.15501 106.021336) + (xy 100.15501 105.738666) (xy 100.118698 105.556114) (xy 106.851013 98.8238) (xy 106.880011 98.800002) (xy 106.974984 98.684277) + (xy 107.045556 98.552248) (xy 107.089013 98.408987) (xy 107.10001 98.297334) (xy 107.10001 98.297325) (xy 107.103686 98.260002) + (xy 107.10001 98.222679) (xy 107.10001 53.818179) (xy 107.286642 53.693476) (xy 107.493485 53.486633) (xy 107.61001 53.312241) + (xy 107.726535 53.486633) (xy 107.933378 53.693476) (xy 108.176599 53.855991) (xy 108.446852 53.967933) (xy 108.73375 54.025001) + (xy 109.02627 54.025001) (xy 109.313168 53.967933) (xy 109.583421 53.855991) (xy 109.826642 53.693476) (xy 110.033485 53.486633) + (xy 110.15001 53.312241) (xy 110.266535 53.486633) (xy 110.473378 53.693476) (xy 110.66001 53.818179) (xy 110.66001 58.926257) + (xy 110.539415 58.876305) (xy 110.281496 58.825001) (xy 110.018524 58.825001) (xy 109.760605 58.876305) (xy 109.517651 58.97694) + (xy 109.298997 59.123039) (xy 109.113048 59.308988) (xy 108.966949 59.527642) (xy 108.866314 59.770596) (xy 108.81501 60.028515) + (xy 108.81501 60.291487) (xy 108.866314 60.549406) (xy 108.966949 60.79236) (xy 109.113048 61.011014) (xy 109.298997 61.196963) + (xy 109.517651 61.343062) (xy 109.72754 61.430001) (xy 109.517651 61.51694) (xy 109.298997 61.663039) (xy 109.113048 61.848988) + (xy 108.966949 62.067642) (xy 108.866314 62.310596) (xy 108.81501 62.568515) (xy 108.81501 62.831487) (xy 108.866314 63.089406) + (xy 108.966949 63.33236) (xy 109.113048 63.551014) (xy 109.298997 63.736963) (xy 109.517651 63.883062) (xy 109.760605 63.983697) + (xy 110.018524 64.035001) (xy 110.281496 64.035001) (xy 110.539415 63.983697) (xy 110.66001 63.933745) (xy 110.660011 103.302668) + (xy 110.656334 103.340001) (xy 110.660011 103.377334) (xy 110.670255 103.481336) (xy 110.671008 103.488986) (xy 110.714464 103.632247) + (xy 110.785036 103.764277) (xy 110.856211 103.851003) (xy 110.88001 103.880002) (xy 110.909008 103.9038) (xy 112.561322 105.556115) + (xy 112.52501 105.738666) (xy 112.52501 106.021336) (xy 112.580157 106.298575) (xy 112.68833 106.559728) (xy 112.845373 106.79476) + (xy 113.045251 106.994638) (xy 113.280283 107.151681) (xy 113.541436 107.259854) (xy 113.818675 107.315001) (xy 114.101345 107.315001) + (xy 114.378584 107.259854) (xy 114.639737 107.151681) (xy 114.874769 106.994638) (xy 115.074647 106.79476) (xy 115.23169 106.559728) + (xy 115.339863 106.298575) (xy 115.39501 106.021336) (xy 115.39501 105.738666) (xy 115.339863 105.461427) (xy 115.23169 105.200274) + (xy 115.074647 104.965242) (xy 114.874769 104.765364) (xy 114.642251 104.610001) (xy 114.874769 104.454638) (xy 115.074647 104.25476) + (xy 115.23169 104.019728) (xy 115.339863 103.758575) (xy 115.39501 103.481336) (xy 115.39501 103.198666) (xy 115.339863 102.921427) + (xy 115.23169 102.660274) (xy 115.074647 102.425242) (xy 114.874769 102.225364) (xy 114.642251 102.070001) (xy 114.874769 101.914638) + (xy 115.074647 101.71476) (xy 115.23169 101.479728) (xy 115.339863 101.218575) (xy 115.39501 100.941336) (xy 115.39501 100.658666) + (xy 115.339863 100.381427) (xy 115.23169 100.120274) (xy 115.074647 99.885242) (xy 114.874769 99.685364) (xy 114.642251 99.530001) + (xy 114.874769 99.374638) (xy 115.074647 99.17476) (xy 115.23169 98.939728) (xy 115.339863 98.678575) (xy 115.39501 98.401336) + (xy 115.39501 98.118666) (xy 115.339863 97.841427) (xy 115.23169 97.580274) (xy 115.074647 97.345242) (xy 114.874769 97.145364) + (xy 114.642251 96.990001) (xy 114.874769 96.834638) (xy 115.074647 96.63476) (xy 115.23169 96.399728) (xy 115.339863 96.138575) + (xy 115.39501 95.861336) (xy 115.39501 95.578666) (xy 115.339863 95.301427) (xy 115.23169 95.040274) (xy 115.074647 94.805242) + (xy 114.874769 94.605364) (xy 114.642251 94.450001) (xy 114.874769 94.294638) (xy 115.074647 94.09476) (xy 115.23169 93.859728) + (xy 115.339863 93.598575) (xy 115.39501 93.321336) (xy 115.39501 93.038666) (xy 115.339863 92.761427) (xy 115.23169 92.500274) + (xy 115.074647 92.265242) (xy 114.874769 92.065364) (xy 114.642251 91.910001) (xy 114.874769 91.754638) (xy 115.074647 91.55476) + (xy 115.23169 91.319728) (xy 115.339863 91.058575) (xy 115.39501 90.781336) (xy 115.39501 90.498666) (xy 115.339863 90.221427) + (xy 115.23169 89.960274) (xy 115.074647 89.725242) (xy 114.874769 89.525364) (xy 114.642251 89.370001) (xy 114.874769 89.214638) + (xy 115.074647 89.01476) (xy 115.23169 88.779728) (xy 115.339863 88.518575) (xy 115.39501 88.241336) (xy 115.39501 87.958666) + (xy 115.339863 87.681427) (xy 115.23169 87.420274) (xy 115.074647 87.185242) (xy 114.874769 86.985364) (xy 114.642251 86.830001) + (xy 114.874769 86.674638) (xy 115.074647 86.47476) (xy 115.23169 86.239728) (xy 115.339863 85.978575) (xy 115.39501 85.701336) + (xy 115.39501 85.418666) (xy 115.339863 85.141427) (xy 115.23169 84.880274) (xy 115.074647 84.645242) (xy 114.874769 84.445364) + (xy 114.642251 84.290001) (xy 114.874769 84.134638) (xy 115.074647 83.93476) (xy 115.23169 83.699728) (xy 115.339863 83.438575) + (xy 115.39501 83.161336) (xy 115.39501 82.878666) (xy 115.339863 82.601427) (xy 115.23169 82.340274) (xy 115.074647 82.105242) + (xy 114.874769 81.905364) (xy 114.642251 81.750001) (xy 114.874769 81.594638) (xy 115.074647 81.39476) (xy 115.23169 81.159728) + (xy 115.339863 80.898575) (xy 115.39501 80.621336) (xy 115.39501 80.338666) (xy 115.339863 80.061427) (xy 115.23169 79.800274) + (xy 115.074647 79.565242) (xy 114.874769 79.365364) (xy 114.642251 79.210001) (xy 114.874769 79.054638) (xy 115.074647 78.85476) + (xy 115.23169 78.619728) (xy 115.339863 78.358575) (xy 115.39501 78.081336) (xy 115.39501 77.798666) (xy 115.339863 77.521427) + (xy 115.23169 77.260274) (xy 115.074647 77.025242) (xy 114.874769 76.825364) (xy 114.642251 76.670001) (xy 114.874769 76.514638) + (xy 115.074647 76.31476) (xy 115.23169 76.079728) (xy 115.339863 75.818575) (xy 115.39501 75.541336) (xy 115.39501 75.258666) + (xy 115.339863 74.981427) (xy 115.23169 74.720274) (xy 115.074647 74.485242) (xy 114.874769 74.285364) (xy 114.639737 74.128321) + (xy 114.629145 74.123934) (xy 114.815141 74.012386) (xy 115.023529 73.823415) (xy 115.191047 73.597421) (xy 115.311256 73.343088) + (xy 115.351914 73.20904) (xy 115.229925 72.987001) (xy 114.08701 72.987001) (xy 114.08701 73.007001) (xy 113.83301 73.007001) + (xy 113.83301 72.987001) (xy 112.690095 72.987001) (xy 112.568106 73.20904) (xy 112.608764 73.343088) (xy 112.728973 73.597421) + (xy 112.896491 73.823415) (xy 113.104879 74.012386) (xy 113.290875 74.123934) (xy 113.280283 74.128321) (xy 113.045251 74.285364) + (xy 112.845373 74.485242) (xy 112.68833 74.720274) (xy 112.580157 74.981427) (xy 112.52501 75.258666) (xy 112.52501 75.541336) + (xy 112.580157 75.818575) (xy 112.68833 76.079728) (xy 112.845373 76.31476) (xy 113.045251 76.514638) (xy 113.277769 76.670001) + (xy 113.045251 76.825364) (xy 112.845373 77.025242) (xy 112.68833 77.260274) (xy 112.580157 77.521427) (xy 112.52501 77.798666) + (xy 112.52501 78.081336) (xy 112.580157 78.358575) (xy 112.68833 78.619728) (xy 112.845373 78.85476) (xy 113.045251 79.054638) + (xy 113.277769 79.210001) (xy 113.045251 79.365364) (xy 112.845373 79.565242) (xy 112.68833 79.800274) (xy 112.580157 80.061427) + (xy 112.52501 80.338666) (xy 112.52501 80.621336) (xy 112.580157 80.898575) (xy 112.68833 81.159728) (xy 112.845373 81.39476) + (xy 113.045251 81.594638) (xy 113.277769 81.750001) (xy 113.045251 81.905364) (xy 112.845373 82.105242) (xy 112.68833 82.340274) + (xy 112.580157 82.601427) (xy 112.52501 82.878666) (xy 112.52501 83.161336) (xy 112.580157 83.438575) (xy 112.68833 83.699728) + (xy 112.845373 83.93476) (xy 113.045251 84.134638) (xy 113.277769 84.290001) (xy 113.045251 84.445364) (xy 112.845373 84.645242) + (xy 112.68833 84.880274) (xy 112.580157 85.141427) (xy 112.52501 85.418666) (xy 112.52501 85.701336) (xy 112.580157 85.978575) + (xy 112.68833 86.239728) (xy 112.845373 86.47476) (xy 113.045251 86.674638) (xy 113.277769 86.830001) (xy 113.045251 86.985364) + (xy 112.845373 87.185242) (xy 112.68833 87.420274) (xy 112.580157 87.681427) (xy 112.52501 87.958666) (xy 112.52501 88.241336) + (xy 112.580157 88.518575) (xy 112.68833 88.779728) (xy 112.845373 89.01476) (xy 113.045251 89.214638) (xy 113.277769 89.370001) + (xy 113.045251 89.525364) (xy 112.845373 89.725242) (xy 112.68833 89.960274) (xy 112.580157 90.221427) (xy 112.52501 90.498666) + (xy 112.52501 90.781336) (xy 112.580157 91.058575) (xy 112.68833 91.319728) (xy 112.845373 91.55476) (xy 113.045251 91.754638) + (xy 113.277769 91.910001) (xy 113.045251 92.065364) (xy 112.845373 92.265242) (xy 112.68833 92.500274) (xy 112.580157 92.761427) + (xy 112.52501 93.038666) (xy 112.52501 93.321336) (xy 112.580157 93.598575) (xy 112.68833 93.859728) (xy 112.845373 94.09476) + (xy 113.045251 94.294638) (xy 113.277769 94.450001) (xy 113.045251 94.605364) (xy 112.845373 94.805242) (xy 112.68833 95.040274) + (xy 112.580157 95.301427) (xy 112.52501 95.578666) (xy 112.52501 95.861336) (xy 112.580157 96.138575) (xy 112.68833 96.399728) + (xy 112.845373 96.63476) (xy 113.045251 96.834638) (xy 113.277769 96.990001) (xy 113.045251 97.145364) (xy 112.845373 97.345242) + (xy 112.68833 97.580274) (xy 112.580157 97.841427) (xy 112.52501 98.118666) (xy 112.52501 98.401336) (xy 112.580157 98.678575) + (xy 112.68833 98.939728) (xy 112.845373 99.17476) (xy 113.045251 99.374638) (xy 113.277769 99.530001) (xy 113.045251 99.685364) + (xy 112.845373 99.885242) (xy 112.68833 100.120274) (xy 112.580157 100.381427) (xy 112.52501 100.658666) (xy 112.52501 100.941336) + (xy 112.580157 101.218575) (xy 112.68833 101.479728) (xy 112.845373 101.71476) (xy 113.045251 101.914638) (xy 113.277769 102.070001) + (xy 113.045251 102.225364) (xy 112.845373 102.425242) (xy 112.68833 102.660274) (xy 112.580157 102.921427) (xy 112.52501 103.198666) + (xy 112.52501 103.3702) (xy 112.18001 103.0252) (xy 112.18001 70.178666) (xy 112.52501 70.178666) (xy 112.52501 70.461336) + (xy 112.580157 70.738575) (xy 112.68833 70.999728) (xy 112.845373 71.23476) (xy 113.045251 71.434638) (xy 113.280283 71.591681) + (xy 113.290875 71.596068) (xy 113.104879 71.707616) (xy 112.896491 71.896587) (xy 112.728973 72.122581) (xy 112.608764 72.376914) + (xy 112.568106 72.510962) (xy 112.690095 72.733001) (xy 113.83301 72.733001) (xy 113.83301 72.713001) (xy 114.08701 72.713001) + (xy 114.08701 72.733001) (xy 115.229925 72.733001) (xy 115.351914 72.510962) (xy 115.311256 72.376914) (xy 115.191047 72.122581) + (xy 115.023529 71.896587) (xy 114.815141 71.707616) (xy 114.629145 71.596068) (xy 114.639737 71.591681) (xy 114.874769 71.434638) + (xy 115.074647 71.23476) (xy 115.23169 70.999728) (xy 115.339863 70.738575) (xy 115.39501 70.461336) (xy 115.39501 70.178666) + (xy 115.339863 69.901427) (xy 115.23169 69.640274) (xy 115.074647 69.405242) (xy 114.874769 69.205364) (xy 114.639737 69.048321) + (xy 114.378584 68.940148) (xy 114.101345 68.885001) (xy 113.818675 68.885001) (xy 113.541436 68.940148) (xy 113.280283 69.048321) + (xy 113.045251 69.205364) (xy 112.845373 69.405242) (xy 112.68833 69.640274) (xy 112.580157 69.901427) (xy 112.52501 70.178666) + (xy 112.18001 70.178666) (xy 112.18001 60.028515) (xy 116.43501 60.028515) (xy 116.43501 60.291487) (xy 116.486314 60.549406) + (xy 116.586949 60.79236) (xy 116.733048 61.011014) (xy 116.918997 61.196963) (xy 117.137651 61.343062) (xy 117.34754 61.430001) + (xy 117.137651 61.51694) (xy 116.918997 61.663039) (xy 116.733048 61.848988) (xy 116.586949 62.067642) (xy 116.486314 62.310596) + (xy 116.43501 62.568515) (xy 116.43501 62.831487) (xy 116.486314 63.089406) (xy 116.586949 63.33236) (xy 116.733048 63.551014) + (xy 116.918997 63.736963) (xy 117.137651 63.883062) (xy 117.380605 63.983697) (xy 117.638524 64.035001) (xy 117.901496 64.035001) + (xy 118.159415 63.983697) (xy 118.402369 63.883062) (xy 118.621023 63.736963) (xy 118.806972 63.551014) (xy 118.953071 63.33236) + (xy 119.053706 63.089406) (xy 119.10501 62.831487) (xy 119.10501 62.568515) (xy 119.053706 62.310596) (xy 118.953071 62.067642) + (xy 118.806972 61.848988) (xy 118.621023 61.663039) (xy 118.402369 61.51694) (xy 118.19248 61.430001) (xy 118.402369 61.343062) + (xy 118.621023 61.196963) (xy 118.806972 61.011014) (xy 118.953071 60.79236) (xy 119.053706 60.549406) (xy 119.10501 60.291487) + (xy 119.10501 60.028515) (xy 119.053706 59.770596) (xy 118.953071 59.527642) (xy 118.806972 59.308988) (xy 118.621023 59.123039) + (xy 118.402369 58.97694) (xy 118.159415 58.876305) (xy 117.901496 58.825001) (xy 117.638524 58.825001) (xy 117.380605 58.876305) + (xy 117.137651 58.97694) (xy 116.918997 59.123039) (xy 116.733048 59.308988) (xy 116.586949 59.527642) (xy 116.486314 59.770596) + (xy 116.43501 60.028515) (xy 112.18001 60.028515) (xy 112.18001 53.818179) (xy 112.366642 53.693476) (xy 112.498497 53.561621) + (xy 112.520508 53.634181) (xy 112.579473 53.744495) (xy 112.658825 53.841186) (xy 112.755516 53.920538) (xy 112.86583 53.979503) + (xy 112.985528 54.015813) (xy 113.11001 54.028073) (xy 114.81001 54.028073) (xy 114.934492 54.015813) (xy 115.05419 53.979503) + (xy 115.164504 53.920538) (xy 115.261195 53.841186) (xy 115.340547 53.744495) (xy 115.399512 53.634181) (xy 115.435822 53.514483) + (xy 115.448082 53.390001) (xy 115.448082 53.300001) (xy 116.632923 53.300001) (xy 116.644198 53.414483) (xy 116.680508 53.534181) + (xy 116.739473 53.644495) (xy 116.818825 53.741186) (xy 116.915516 53.820538) (xy 117.02583 53.879503) (xy 117.145528 53.915813) + (xy 117.27001 53.928073) (xy 118.42001 53.928073) (xy 118.543837 53.915942) (xy 118.569945 53.908052) (xy 118.595528 53.915813) + (xy 118.72001 53.928073) (xy 119.72001 53.928073) (xy 119.844492 53.915813) (xy 119.96419 53.879503) (xy 120.074504 53.820538) + (xy 120.171195 53.741186) (xy 120.250547 53.644495) (xy 120.287693 53.575001) (xy 120.411949 53.575001) (xy 120.611908 53.535227) + (xy 120.800266 53.457206) (xy 120.969784 53.343938) (xy 121.113947 53.199775) (xy 121.227215 53.030257) (xy 121.305236 52.841899) + (xy 121.34501 52.64194) (xy 121.34501 52.438062) (xy 121.305236 52.238103) (xy 121.227215 52.049745) (xy 121.113947 51.880227) + (xy 120.969784 51.736064) (xy 120.800266 51.622796) (xy 120.611908 51.544775) (xy 120.411949 51.505001) (xy 120.287693 51.505001) + (xy 120.250547 51.435507) (xy 120.171195 51.338816) (xy 120.074504 51.259464) (xy 119.96419 51.200499) (xy 119.844492 51.164189) + (xy 119.72001 51.151929) (xy 118.72001 51.151929) (xy 118.594874 51.16432) (xy 118.569946 51.17191) (xy 118.544492 51.164189) + (xy 118.42001 51.151929) (xy 117.27001 51.151929) (xy 117.145528 51.164189) (xy 117.02583 51.200499) (xy 116.915516 51.259464) + (xy 116.818825 51.338816) (xy 116.739473 51.435507) (xy 116.680508 51.545821) (xy 116.644198 51.665519) (xy 116.632923 51.780001) + (xy 115.448082 51.780001) (xy 115.448082 51.690001) (xy 115.435822 51.565519) (xy 115.399512 51.445821) (xy 115.340547 51.335507) + (xy 115.261195 51.238816) (xy 115.164504 51.159464) (xy 115.05419 51.100499) (xy 114.934492 51.064189) (xy 114.81001 51.051929) + (xy 113.11001 51.051929) (xy 112.985528 51.064189) (xy 112.86583 51.100499) (xy 112.755516 51.159464) (xy 112.658825 51.238816) + (xy 112.579473 51.335507) (xy 112.520508 51.445821) (xy 112.498497 51.518381) (xy 112.366642 51.386526) (xy 112.123421 51.224011) + (xy 111.853168 51.112069) (xy 111.56627 51.055001) (xy 111.27375 51.055001) (xy 110.986852 51.112069) (xy 110.716599 51.224011) + (xy 110.473378 51.386526) (xy 110.266535 51.593369) (xy 110.15001 51.767761) (xy 110.033485 51.593369) (xy 109.826642 51.386526) + (xy 109.583421 51.224011) (xy 109.313168 51.112069) (xy 109.02627 51.055001) (xy 108.73375 51.055001) (xy 108.513602 51.098792) + (xy 108.268813 50.854003) (xy 108.24501 50.824999) (xy 108.129285 50.730026) (xy 107.998279 50.660001) (xy 121.547731 50.660001) + (xy 121.944555 50.69891) (xy 122.295218 50.804781) (xy 122.618635 50.976745) (xy 122.902494 51.208255) (xy 123.135975 51.490487) + (xy 123.310193 51.812696) (xy 123.418512 52.162615) (xy 123.460011 52.557453) (xy 123.46001 116.007722) (xy 123.421101 116.404546) + (xy 123.31523 116.755207) (xy 123.143267 117.078624) (xy 122.911758 117.362483) (xy 122.629524 117.595966) (xy 122.307314 117.770185) + (xy 121.957395 117.878503) (xy 121.562567 117.920001) (xy 91.132289 117.920001) (xy 90.735465 117.881092) (xy 90.384804 117.775221) + (xy 90.061387 117.603258) (xy 89.777528 117.371749) (xy 89.544045 117.089515) (xy 89.369826 116.767305) (xy 89.261508 116.417386) + (xy 89.22001 116.022558) (xy 89.22001 114.623741) (xy 113.74501 114.623741) (xy 113.74501 114.916261) (xy 113.802078 115.203159) + (xy 113.91402 115.473412) (xy 114.076535 115.716633) (xy 114.283378 115.923476) (xy 114.526599 116.085991) (xy 114.796852 116.197933) + (xy 115.08375 116.255001) (xy 115.37627 116.255001) (xy 115.663168 116.197933) (xy 115.933421 116.085991) (xy 116.176642 115.923476) + (xy 116.308497 115.791621) (xy 116.330508 115.864181) (xy 116.389473 115.974495) (xy 116.468825 116.071186) (xy 116.565516 116.150538) + (xy 116.67583 116.209503) (xy 116.795528 116.245813) (xy 116.92001 116.258073) (xy 117.48426 116.255001) (xy 117.64301 116.096251) + (xy 117.64301 114.897001) (xy 117.89701 114.897001) (xy 117.89701 116.096251) (xy 118.05576 116.255001) (xy 118.62001 116.258073) + (xy 118.744492 116.245813) (xy 118.86419 116.209503) (xy 118.974504 116.150538) (xy 119.071195 116.071186) (xy 119.150547 115.974495) + (xy 119.209512 115.864181) (xy 119.245822 115.744483) (xy 119.258082 115.620001) (xy 119.25501 115.055751) (xy 119.09626 114.897001) + (xy 117.89701 114.897001) (xy 117.64301 114.897001) (xy 117.62301 114.897001) (xy 117.62301 114.643001) (xy 117.64301 114.643001) + (xy 117.64301 114.623001) (xy 117.89701 114.623001) (xy 117.89701 114.643001) (xy 119.09626 114.643001) (xy 119.25501 114.484251) + (xy 119.258082 113.920001) (xy 119.245822 113.795519) (xy 119.209512 113.675821) (xy 119.150547 113.565507) (xy 119.071195 113.468816) + (xy 118.974504 113.389464) (xy 118.86419 113.330499) (xy 118.744492 113.294189) (xy 118.62001 113.281929) (xy 118.05576 113.285001) + (xy 117.897012 113.443749) (xy 117.897012 113.285001) (xy 117.860522 113.285001) (xy 120.899627 110.245897) (xy 120.930537 110.22053) + (xy 120.986128 110.152792) (xy 121.031758 110.097192) (xy 121.106971 109.956476) (xy 121.106972 109.956475) (xy 121.153289 109.80379) + (xy 121.165009 109.684793) (xy 121.165009 109.684791) (xy 121.168928 109.645003) (xy 121.165009 109.605215) (xy 121.165009 107.368073) + (xy 122.43001 107.368073) (xy 122.554492 107.355813) (xy 122.67419 107.319503) (xy 122.784504 107.260538) (xy 122.881195 107.181186) + (xy 122.960547 107.084495) (xy 123.019512 106.974181) (xy 123.055822 106.854483) (xy 123.068082 106.730001) (xy 123.068082 105.030001) + (xy 123.055822 104.905519) (xy 123.019512 104.785821) (xy 122.960547 104.675507) (xy 122.881195 104.578816) (xy 122.784504 104.499464) + (xy 122.67419 104.440499) (xy 122.60163 104.418488) (xy 122.733485 104.286633) (xy 122.896 104.043412) (xy 123.007942 103.773159) + (xy 123.06501 103.486261) (xy 123.06501 103.193741) (xy 123.007942 102.906843) (xy 122.896 102.63659) (xy 122.733485 102.393369) + (xy 122.526642 102.186526) (xy 122.35225 102.070001) (xy 122.526642 101.953476) (xy 122.733485 101.746633) (xy 122.896 101.503412) + (xy 123.007942 101.233159) (xy 123.06501 100.946261) (xy 123.06501 100.653741) (xy 123.007942 100.366843) (xy 122.896 100.09659) + (xy 122.733485 99.853369) (xy 122.526642 99.646526) (xy 122.35225 99.530001) (xy 122.526642 99.413476) (xy 122.733485 99.206633) + (xy 122.896 98.963412) (xy 123.007942 98.693159) (xy 123.06501 98.406261) (xy 123.06501 98.113741) (xy 123.007942 97.826843) + (xy 122.896 97.55659) (xy 122.733485 97.313369) (xy 122.526642 97.106526) (xy 122.35225 96.990001) (xy 122.526642 96.873476) + (xy 122.733485 96.666633) (xy 122.896 96.423412) (xy 123.007942 96.153159) (xy 123.06501 95.866261) (xy 123.06501 95.573741) + (xy 123.007942 95.286843) (xy 122.896 95.01659) (xy 122.733485 94.773369) (xy 122.526642 94.566526) (xy 122.35225 94.450001) + (xy 122.526642 94.333476) (xy 122.733485 94.126633) (xy 122.896 93.883412) (xy 123.007942 93.613159) (xy 123.06501 93.326261) + (xy 123.06501 93.033741) (xy 123.007942 92.746843) (xy 122.896 92.47659) (xy 122.733485 92.233369) (xy 122.526642 92.026526) + (xy 122.35225 91.910001) (xy 122.526642 91.793476) (xy 122.733485 91.586633) (xy 122.896 91.343412) (xy 123.007942 91.073159) + (xy 123.06501 90.786261) (xy 123.06501 90.493741) (xy 123.007942 90.206843) (xy 122.896 89.93659) (xy 122.733485 89.693369) + (xy 122.526642 89.486526) (xy 122.35225 89.370001) (xy 122.526642 89.253476) (xy 122.733485 89.046633) (xy 122.896 88.803412) + (xy 123.007942 88.533159) (xy 123.06501 88.246261) (xy 123.06501 87.953741) (xy 123.007942 87.666843) (xy 122.896 87.39659) + (xy 122.733485 87.153369) (xy 122.526642 86.946526) (xy 122.35225 86.830001) (xy 122.526642 86.713476) (xy 122.733485 86.506633) + (xy 122.896 86.263412) (xy 123.007942 85.993159) (xy 123.06501 85.706261) (xy 123.06501 85.413741) (xy 123.007942 85.126843) + (xy 122.896 84.85659) (xy 122.733485 84.613369) (xy 122.526642 84.406526) (xy 122.35225 84.290001) (xy 122.526642 84.173476) + (xy 122.733485 83.966633) (xy 122.896 83.723412) (xy 123.007942 83.453159) (xy 123.06501 83.166261) (xy 123.06501 82.873741) + (xy 123.007942 82.586843) (xy 122.896 82.31659) (xy 122.733485 82.073369) (xy 122.526642 81.866526) (xy 122.35225 81.750001) + (xy 122.526642 81.633476) (xy 122.733485 81.426633) (xy 122.896 81.183412) (xy 123.007942 80.913159) (xy 123.06501 80.626261) + (xy 123.06501 80.333741) (xy 123.007942 80.046843) (xy 122.896 79.77659) (xy 122.733485 79.533369) (xy 122.526642 79.326526) + (xy 122.35225 79.210001) (xy 122.526642 79.093476) (xy 122.733485 78.886633) (xy 122.896 78.643412) (xy 123.007942 78.373159) + (xy 123.06501 78.086261) (xy 123.06501 77.793741) (xy 123.007942 77.506843) (xy 122.896 77.23659) (xy 122.733485 76.993369) + (xy 122.526642 76.786526) (xy 122.35225 76.670001) (xy 122.526642 76.553476) (xy 122.733485 76.346633) (xy 122.896 76.103412) + (xy 123.007942 75.833159) (xy 123.06501 75.546261) (xy 123.06501 75.253741) (xy 123.007942 74.966843) (xy 122.896 74.69659) + (xy 122.733485 74.453369) (xy 122.526642 74.246526) (xy 122.344476 74.124806) (xy 122.461365 74.055179) (xy 122.677598 73.86027) + (xy 122.851651 73.626921) (xy 122.976835 73.3641) (xy 123.021486 73.216891) (xy 122.900165 72.987001) (xy 121.70701 72.987001) + (xy 121.70701 73.007001) (xy 121.45301 73.007001) (xy 121.45301 72.987001) (xy 121.43301 72.987001) (xy 121.43301 72.733001) + (xy 121.45301 72.733001) (xy 121.45301 72.713001) (xy 121.70701 72.713001) (xy 121.70701 72.733001) (xy 122.900165 72.733001) + (xy 123.021486 72.503111) (xy 122.976835 72.355902) (xy 122.851651 72.093081) (xy 122.677598 71.859732) (xy 122.461365 71.664823) + (xy 122.344476 71.595196) (xy 122.526642 71.473476) (xy 122.733485 71.266633) (xy 122.896 71.023412) (xy 123.007942 70.753159) + (xy 123.06501 70.466261) (xy 123.06501 70.173741) (xy 123.007942 69.886843) (xy 122.896 69.61659) (xy 122.733485 69.373369) + (xy 122.526642 69.166526) (xy 122.283421 69.004011) (xy 122.013168 68.892069) (xy 121.72627 68.835001) (xy 121.43375 68.835001) + (xy 121.146852 68.892069) (xy 120.876599 69.004011) (xy 120.633378 69.166526) (xy 120.426535 69.373369) (xy 120.26402 69.61659) + (xy 120.152078 69.886843) (xy 120.09501 70.173741) (xy 120.09501 70.466261) (xy 120.127069 70.62743) (xy 119.810396 70.944103) + (xy 119.779481 70.969474) (xy 119.709712 71.054489) (xy 119.67826 71.092813) (xy 119.663728 71.120001) (xy 119.603046 71.23353) + (xy 119.556729 71.386215) (xy 119.547478 71.480148) (xy 119.54109 71.545002) (xy 119.545009 71.58479) (xy 119.54501 109.309488) + (xy 115.537439 113.31706) (xy 115.37627 113.285001) (xy 115.08375 113.285001) (xy 114.796852 113.342069) (xy 114.526599 113.454011) + (xy 114.283378 113.616526) (xy 114.076535 113.823369) (xy 113.91402 114.06659) (xy 113.802078 114.336843) (xy 113.74501 114.623741) + (xy 89.22001 114.623741) (xy 89.22001 105.030001) (xy 89.611938 105.030001) (xy 89.611938 106.730001) (xy 89.624198 106.854483) + (xy 89.660508 106.974181) (xy 89.719473 107.084495) (xy 89.798825 107.181186) (xy 89.895516 107.260538) (xy 90.00583 107.319503) + (xy 90.125528 107.355813) (xy 90.25001 107.368073) (xy 91.95001 107.368073) (xy 92.074492 107.355813) (xy 92.19419 107.319503) + (xy 92.304504 107.260538) (xy 92.401195 107.181186) (xy 92.480547 107.084495) (xy 92.539512 106.974181) (xy 92.575822 106.854483) + (xy 92.588082 106.730001) (xy 92.588082 105.030001) (xy 92.575822 104.905519) (xy 92.539512 104.785821) (xy 92.480547 104.675507) + (xy 92.401195 104.578816) (xy 92.304504 104.499464) (xy 92.19419 104.440499) (xy 92.12163 104.418488) (xy 92.253485 104.286633) + (xy 92.416 104.043412) (xy 92.527942 103.773159) (xy 92.58501 103.486261) (xy 92.58501 103.193741) (xy 92.527942 102.906843) + (xy 92.416 102.63659) (xy 92.253485 102.393369) (xy 92.046642 102.186526) (xy 91.87225 102.070001) (xy 92.046642 101.953476) + (xy 92.253485 101.746633) (xy 92.416 101.503412) (xy 92.527942 101.233159) (xy 92.58501 100.946261) (xy 92.58501 100.653741) + (xy 92.527942 100.366843) (xy 92.416 100.09659) (xy 92.253485 99.853369) (xy 92.046642 99.646526) (xy 91.87225 99.530001) + (xy 92.046642 99.413476) (xy 92.253485 99.206633) (xy 92.416 98.963412) (xy 92.527942 98.693159) (xy 92.58501 98.406261) + (xy 92.58501 98.113741) (xy 92.527942 97.826843) (xy 92.416 97.55659) (xy 92.253485 97.313369) (xy 92.046642 97.106526) + (xy 91.87225 96.990001) (xy 92.046642 96.873476) (xy 92.253485 96.666633) (xy 92.416 96.423412) (xy 92.527942 96.153159) + (xy 92.58501 95.866261) (xy 92.58501 95.573741) (xy 92.527942 95.286843) (xy 92.416 95.01659) (xy 92.253485 94.773369) + (xy 92.046642 94.566526) (xy 91.87225 94.450001) (xy 92.046642 94.333476) (xy 92.253485 94.126633) (xy 92.416 93.883412) + (xy 92.527942 93.613159) (xy 92.58501 93.326261) (xy 92.58501 93.033741) (xy 92.527942 92.746843) (xy 92.416 92.47659) + (xy 92.253485 92.233369) (xy 92.046642 92.026526) (xy 91.87225 91.910001) (xy 92.046642 91.793476) (xy 92.253485 91.586633) + (xy 92.416 91.343412) (xy 92.527942 91.073159) (xy 92.58501 90.786261) (xy 92.58501 90.493741) (xy 92.527942 90.206843) + (xy 92.416 89.93659) (xy 92.253485 89.693369) (xy 92.046642 89.486526) (xy 91.87225 89.370001) (xy 92.046642 89.253476) + (xy 92.253485 89.046633) (xy 92.416 88.803412) (xy 92.527942 88.533159) (xy 92.58501 88.246261) (xy 92.58501 87.953741) + (xy 92.527942 87.666843) (xy 92.416 87.39659) (xy 92.253485 87.153369) (xy 92.046642 86.946526) (xy 91.87225 86.830001) + (xy 92.046642 86.713476) (xy 92.253485 86.506633) (xy 92.416 86.263412) (xy 92.527942 85.993159) (xy 92.58501 85.706261) + (xy 92.58501 85.413741) (xy 92.527942 85.126843) (xy 92.416 84.85659) (xy 92.253485 84.613369) (xy 92.046642 84.406526) + (xy 91.87225 84.290001) (xy 92.046642 84.173476) (xy 92.253485 83.966633) (xy 92.416 83.723412) (xy 92.527942 83.453159) + (xy 92.58501 83.166261) (xy 92.58501 82.873741) (xy 92.527942 82.586843) (xy 92.416 82.31659) (xy 92.253485 82.073369) + (xy 92.046642 81.866526) (xy 91.87225 81.750001) (xy 92.046642 81.633476) (xy 92.253485 81.426633) (xy 92.416 81.183412) + (xy 92.527942 80.913159) (xy 92.58501 80.626261) (xy 92.58501 80.333741) (xy 92.527942 80.046843) (xy 92.416 79.77659) + (xy 92.253485 79.533369) (xy 92.046642 79.326526) (xy 91.864476 79.204806) (xy 91.981365 79.135179) (xy 92.197598 78.94027) + (xy 92.371651 78.706921) (xy 92.496835 78.4441) (xy 92.541486 78.296891) (xy 92.420165 78.067001) (xy 91.22701 78.067001) + (xy 91.22701 78.087001) (xy 90.97301 78.087001) (xy 90.97301 78.067001) (xy 89.779855 78.067001) (xy 89.658534 78.296891) + (xy 89.703185 78.4441) (xy 89.828369 78.706921) (xy 90.002422 78.94027) (xy 90.218655 79.135179) (xy 90.335544 79.204806) + (xy 90.153378 79.326526) (xy 89.946535 79.533369) (xy 89.78402 79.77659) (xy 89.672078 80.046843) (xy 89.61501 80.333741) + (xy 89.61501 80.626261) (xy 89.672078 80.913159) (xy 89.78402 81.183412) (xy 89.946535 81.426633) (xy 90.153378 81.633476) + (xy 90.32777 81.750001) (xy 90.153378 81.866526) (xy 89.946535 82.073369) (xy 89.78402 82.31659) (xy 89.672078 82.586843) + (xy 89.61501 82.873741) (xy 89.61501 83.166261) (xy 89.672078 83.453159) (xy 89.78402 83.723412) (xy 89.946535 83.966633) + (xy 90.153378 84.173476) (xy 90.32777 84.290001) (xy 90.153378 84.406526) (xy 89.946535 84.613369) (xy 89.78402 84.85659) + (xy 89.672078 85.126843) (xy 89.61501 85.413741) (xy 89.61501 85.706261) (xy 89.672078 85.993159) (xy 89.78402 86.263412) + (xy 89.946535 86.506633) (xy 90.153378 86.713476) (xy 90.32777 86.830001) (xy 90.153378 86.946526) (xy 89.946535 87.153369) + (xy 89.78402 87.39659) (xy 89.672078 87.666843) (xy 89.61501 87.953741) (xy 89.61501 88.246261) (xy 89.672078 88.533159) + (xy 89.78402 88.803412) (xy 89.946535 89.046633) (xy 90.153378 89.253476) (xy 90.32777 89.370001) (xy 90.153378 89.486526) + (xy 89.946535 89.693369) (xy 89.78402 89.93659) (xy 89.672078 90.206843) (xy 89.61501 90.493741) (xy 89.61501 90.786261) + (xy 89.672078 91.073159) (xy 89.78402 91.343412) (xy 89.946535 91.586633) (xy 90.153378 91.793476) (xy 90.32777 91.910001) + (xy 90.153378 92.026526) (xy 89.946535 92.233369) (xy 89.78402 92.47659) (xy 89.672078 92.746843) (xy 89.61501 93.033741) + (xy 89.61501 93.326261) (xy 89.672078 93.613159) (xy 89.78402 93.883412) (xy 89.946535 94.126633) (xy 90.153378 94.333476) + (xy 90.32777 94.450001) (xy 90.153378 94.566526) (xy 89.946535 94.773369) (xy 89.78402 95.01659) (xy 89.672078 95.286843) + (xy 89.61501 95.573741) (xy 89.61501 95.866261) (xy 89.672078 96.153159) (xy 89.78402 96.423412) (xy 89.946535 96.666633) + (xy 90.153378 96.873476) (xy 90.32777 96.990001) (xy 90.153378 97.106526) (xy 89.946535 97.313369) (xy 89.78402 97.55659) + (xy 89.672078 97.826843) (xy 89.61501 98.113741) (xy 89.61501 98.406261) (xy 89.672078 98.693159) (xy 89.78402 98.963412) + (xy 89.946535 99.206633) (xy 90.153378 99.413476) (xy 90.32777 99.530001) (xy 90.153378 99.646526) (xy 89.946535 99.853369) + (xy 89.78402 100.09659) (xy 89.672078 100.366843) (xy 89.61501 100.653741) (xy 89.61501 100.946261) (xy 89.672078 101.233159) + (xy 89.78402 101.503412) (xy 89.946535 101.746633) (xy 90.153378 101.953476) (xy 90.32777 102.070001) (xy 90.153378 102.186526) + (xy 89.946535 102.393369) (xy 89.78402 102.63659) (xy 89.672078 102.906843) (xy 89.61501 103.193741) (xy 89.61501 103.486261) + (xy 89.672078 103.773159) (xy 89.78402 104.043412) (xy 89.946535 104.286633) (xy 90.07839 104.418488) (xy 90.00583 104.440499) + (xy 89.895516 104.499464) (xy 89.798825 104.578816) (xy 89.719473 104.675507) (xy 89.660508 104.785821) (xy 89.624198 104.905519) + (xy 89.611938 105.030001) (xy 89.22001 105.030001) (xy 89.22001 70.173741) (xy 89.61501 70.173741) (xy 89.61501 70.466261) + (xy 89.672078 70.753159) (xy 89.78402 71.023412) (xy 89.946535 71.266633) (xy 90.153378 71.473476) (xy 90.32777 71.590001) + (xy 90.153378 71.706526) (xy 89.946535 71.913369) (xy 89.78402 72.15659) (xy 89.672078 72.426843) (xy 89.61501 72.713741) + (xy 89.61501 73.006261) (xy 89.672078 73.293159) (xy 89.78402 73.563412) (xy 89.946535 73.806633) (xy 90.153378 74.013476) + (xy 90.32777 74.130001) (xy 90.153378 74.246526) (xy 89.946535 74.453369) (xy 89.78402 74.69659) (xy 89.672078 74.966843) + (xy 89.61501 75.253741) (xy 89.61501 75.546261) (xy 89.672078 75.833159) (xy 89.78402 76.103412) (xy 89.946535 76.346633) + (xy 90.153378 76.553476) (xy 90.335544 76.675196) (xy 90.218655 76.744823) (xy 90.002422 76.939732) (xy 89.828369 77.173081) + (xy 89.703185 77.435902) (xy 89.658534 77.583111) (xy 89.779855 77.813001) (xy 90.97301 77.813001) (xy 90.97301 77.793001) + (xy 91.22701 77.793001) (xy 91.22701 77.813001) (xy 92.420165 77.813001) (xy 92.541486 77.583111) (xy 92.496835 77.435902) + (xy 92.371651 77.173081) (xy 92.197598 76.939732) (xy 91.981365 76.744823) (xy 91.864476 76.675196) (xy 92.046642 76.553476) + (xy 92.253485 76.346633) (xy 92.416 76.103412) (xy 92.527942 75.833159) (xy 92.58501 75.546261) (xy 92.58501 75.253741) + (xy 92.527942 74.966843) (xy 92.416 74.69659) (xy 92.253485 74.453369) (xy 92.046642 74.246526) (xy 91.87225 74.130001) + (xy 92.046642 74.013476) (xy 92.253485 73.806633) (xy 92.416 73.563412) (xy 92.527942 73.293159) (xy 92.58501 73.006261) + (xy 92.58501 72.713741) (xy 92.527942 72.426843) (xy 92.416 72.15659) (xy 92.253485 71.913369) (xy 92.046642 71.706526) + (xy 91.87225 71.590001) (xy 92.046642 71.473476) (xy 92.253485 71.266633) (xy 92.416 71.023412) (xy 92.527942 70.753159) + (xy 92.58501 70.466261) (xy 92.58501 70.173741) (xy 92.527942 69.886843) (xy 92.416 69.61659) (xy 92.351462 69.520001) + (xy 97.281938 69.520001) (xy 97.281938 71.120001) (xy 97.294198 71.244483) (xy 97.330508 71.364181) (xy 97.389473 71.474495) + (xy 97.468825 71.571186) (xy 97.565516 71.650538) (xy 97.67583 71.709503) (xy 97.795528 71.745813) (xy 97.803971 71.746644) + (xy 97.605373 71.945242) (xy 97.44833 72.180274) (xy 97.340157 72.441427) (xy 97.28501 72.718666) (xy 97.28501 73.001336) + (xy 97.340157 73.278575) (xy 97.44833 73.539728) (xy 97.605373 73.77476) (xy 97.805251 73.974638) (xy 98.037769 74.130001) + (xy 97.805251 74.285364) (xy 97.605373 74.485242) (xy 97.44833 74.720274) (xy 97.340157 74.981427) (xy 97.28501 75.258666) + (xy 97.28501 75.541336) (xy 97.340157 75.818575) (xy 97.44833 76.079728) (xy 97.605373 76.31476) (xy 97.805251 76.514638) + (xy 98.040283 76.671681) (xy 98.050875 76.676068) (xy 97.864879 76.787616) (xy 97.656491 76.976587) (xy 97.488973 77.202581) + (xy 97.368764 77.456914) (xy 97.328106 77.590962) (xy 97.450095 77.813001) (xy 98.59301 77.813001) (xy 98.59301 77.793001) + (xy 98.84701 77.793001) (xy 98.84701 77.813001) (xy 99.989925 77.813001) (xy 100.111914 77.590962) (xy 100.071256 77.456914) + (xy 99.951047 77.202581) (xy 99.783529 76.976587) (xy 99.575141 76.787616) (xy 99.389145 76.676068) (xy 99.399737 76.671681) + (xy 99.634769 76.514638) (xy 99.834647 76.31476) (xy 99.99169 76.079728) (xy 100.099863 75.818575) (xy 100.15501 75.541336) + (xy 100.15501 75.258666) (xy 100.099863 74.981427) (xy 99.99169 74.720274) (xy 99.834647 74.485242) (xy 99.634769 74.285364) + (xy 99.402251 74.130001) (xy 99.634769 73.974638) (xy 99.834647 73.77476) (xy 99.99169 73.539728) (xy 100.099863 73.278575) + (xy 100.15501 73.001336) (xy 100.15501 72.718666) (xy 100.099863 72.441427) (xy 99.99169 72.180274) (xy 99.834647 71.945242) + (xy 99.636049 71.746644) (xy 99.644492 71.745813) (xy 99.76419 71.709503) (xy 99.874504 71.650538) (xy 99.971195 71.571186) + (xy 100.050547 71.474495) (xy 100.109512 71.364181) (xy 100.145822 71.244483) (xy 100.158082 71.120001) (xy 100.158082 69.520001) + (xy 100.145822 69.395519) (xy 100.109512 69.275821) (xy 100.050547 69.165507) (xy 99.971195 69.068816) (xy 99.874504 68.989464) + (xy 99.76419 68.930499) (xy 99.644492 68.894189) (xy 99.52001 68.881929) (xy 97.92001 68.881929) (xy 97.795528 68.894189) + (xy 97.67583 68.930499) (xy 97.565516 68.989464) (xy 97.468825 69.068816) (xy 97.389473 69.165507) (xy 97.330508 69.275821) + (xy 97.294198 69.395519) (xy 97.281938 69.520001) (xy 92.351462 69.520001) (xy 92.253485 69.373369) (xy 92.046642 69.166526) + (xy 91.803421 69.004011) (xy 91.533168 68.892069) (xy 91.24627 68.835001) (xy 90.95375 68.835001) (xy 90.666852 68.892069) + (xy 90.396599 69.004011) (xy 90.153378 69.166526) (xy 89.946535 69.373369) (xy 89.78402 69.61659) (xy 89.672078 69.886843) + (xy 89.61501 70.173741) (xy 89.22001 70.173741) (xy 89.22001 62.165001) (xy 97.181938 62.165001) (xy 97.181938 63.235001) + (xy 97.194198 63.359483) (xy 97.230508 63.479181) (xy 97.289473 63.589495) (xy 97.368825 63.686186) (xy 97.465516 63.765538) + (xy 97.57583 63.824503) (xy 97.695528 63.860813) (xy 97.82001 63.873073) (xy 99.62001 63.873073) (xy 99.744492 63.860813) + (xy 99.86419 63.824503) (xy 99.974504 63.765538) (xy 100.071195 63.686186) (xy 100.150547 63.589495) (xy 100.209512 63.479181) + (xy 100.245822 63.359483) (xy 100.258082 63.235001) (xy 100.258082 62.165001) (xy 100.245822 62.040519) (xy 100.209512 61.920821) + (xy 100.172625 61.851811) (xy 100.21391 61.737384) (xy 100.088254 61.557001) (xy 99.80321 61.557001) (xy 99.744492 61.539189) + (xy 99.62001 61.526929) (xy 97.82001 61.526929) (xy 97.695528 61.539189) (xy 97.63681 61.557001) (xy 97.351766 61.557001) + (xy 97.22611 61.737384) (xy 97.267395 61.851811) (xy 97.230508 61.920821) (xy 97.194198 62.040519) (xy 97.181938 62.165001) + (xy 89.22001 62.165001) (xy 89.22001 58.890001) (xy 97.179349 58.890001) (xy 97.201939 59.119361) (xy 97.268841 59.339907) + (xy 97.367776 59.525001) (xy 97.268841 59.710095) (xy 97.201939 59.930641) (xy 97.179349 60.160001) (xy 97.201939 60.389361) + (xy 97.268841 60.609907) (xy 97.369164 60.797597) (xy 97.311633 60.88558) (xy 97.22611 61.122618) (xy 97.351766 61.303001) + (xy 98.09245 61.303001) (xy 98.12565 61.313072) (xy 98.297533 61.330001) (xy 99.142487 61.330001) (xy 99.31437 61.313072) + (xy 99.34757 61.303001) (xy 100.088254 61.303001) (xy 100.21391 61.122618) (xy 100.128387 60.88558) (xy 100.070856 60.797597) + (xy 100.171179 60.609907) (xy 100.238081 60.389361) (xy 100.260671 60.160001) (xy 100.238081 59.930641) (xy 100.171179 59.710095) + (xy 100.072244 59.525001) (xy 100.171179 59.339907) (xy 100.238081 59.119361) (xy 100.260671 58.890001) (xy 100.238081 58.660641) + (xy 100.171179 58.440095) (xy 100.062536 58.236839) (xy 99.916328 58.058683) (xy 99.738172 57.912475) (xy 99.534916 57.803832) + (xy 99.31437 57.73693) (xy 99.142487 57.720001) (xy 98.297533 57.720001) (xy 98.12565 57.73693) (xy 97.905104 57.803832) + (xy 97.701848 57.912475) (xy 97.523692 58.058683) (xy 97.377484 58.236839) (xy 97.268841 58.440095) (xy 97.201939 58.660641) + (xy 97.179349 58.890001) (xy 89.22001 58.890001) (xy 89.22001 52.57228) (xy 89.237515 52.393741) (xy 97.23501 52.393741) + (xy 97.23501 52.686261) (xy 97.292078 52.973159) (xy 97.40402 53.243412) (xy 97.566535 53.486633) (xy 97.773378 53.693476) + (xy 98.016599 53.855991) (xy 98.286852 53.967933) (xy 98.57375 54.025001) (xy 98.86627 54.025001) (xy 99.153168 53.967933) + (xy 99.423421 53.855991) (xy 99.666642 53.693476) (xy 99.873485 53.486633) (xy 99.995205 53.304467) (xy 100.064832 53.421356) + (xy 100.259741 53.637589) (xy 100.49309 53.811642) (xy 100.755911 53.936826) (xy 100.90312 53.981477) (xy 101.13301 53.860156) + (xy 101.13301 52.667001) (xy 101.11301 52.667001) (xy 101.11301 52.413001) (xy 101.13301 52.413001) (xy 101.13301 51.219846) + (xy 100.90312 51.098525) (xy 100.755911 51.143176) (xy 100.49309 51.26836) (xy 100.259741 51.442413) (xy 100.064832 51.658646) + (xy 99.995205 51.775535) (xy 99.873485 51.593369) (xy 99.666642 51.386526) (xy 99.423421 51.224011) (xy 99.153168 51.112069) + (xy 98.86627 51.055001) (xy 98.57375 51.055001) (xy 98.286852 51.112069) (xy 98.016599 51.224011) (xy 97.773378 51.386526) + (xy 97.566535 51.593369) (xy 97.40402 51.83659) (xy 97.292078 52.106843) (xy 97.23501 52.393741) (xy 89.237515 52.393741) + (xy 89.258919 52.175456) (xy 89.36479 51.824793) (xy 89.536754 51.501376) (xy 89.768264 51.217517) (xy 90.050496 50.984036) + (xy 90.372705 50.809818) (xy 90.722624 50.701499) (xy 91.117453 50.660001) (xy 105.482739 50.660001) + ) + ) + ) + (zone (net 64) (net_name Board_2-VCC) (layer B.Cu) (tstamp 601067D5) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.12001 118.580001) (xy 88.56001 118.580001) (xy 88.56001 50.000001) (xy 124.12001 50.000001) + ) + ) + (filled_polygon + (pts + (xy 121.944555 50.69891) (xy 122.295218 50.804781) (xy 122.618635 50.976745) (xy 122.902494 51.208255) (xy 123.135975 51.490487) + (xy 123.310193 51.812696) (xy 123.418512 52.162615) (xy 123.460011 52.557453) (xy 123.46001 116.007722) (xy 123.421101 116.404546) + (xy 123.31523 116.755207) (xy 123.143267 117.078624) (xy 122.911758 117.362483) (xy 122.629524 117.595966) (xy 122.307314 117.770185) + (xy 121.957395 117.878503) (xy 121.562567 117.920001) (xy 91.132289 117.920001) (xy 90.735465 117.881092) (xy 90.384804 117.775221) + (xy 90.061387 117.603258) (xy 89.777528 117.371749) (xy 89.544045 117.089515) (xy 89.369826 116.767305) (xy 89.261508 116.417386) + (xy 89.22001 116.022558) (xy 89.22001 114.623741) (xy 113.74501 114.623741) (xy 113.74501 114.916261) (xy 113.802078 115.203159) + (xy 113.91402 115.473412) (xy 114.076535 115.716633) (xy 114.283378 115.923476) (xy 114.526599 116.085991) (xy 114.796852 116.197933) + (xy 115.08375 116.255001) (xy 115.37627 116.255001) (xy 115.663168 116.197933) (xy 115.933421 116.085991) (xy 116.176642 115.923476) + (xy 116.308497 115.791621) (xy 116.330508 115.864181) (xy 116.389473 115.974495) (xy 116.468825 116.071186) (xy 116.565516 116.150538) + (xy 116.67583 116.209503) (xy 116.795528 116.245813) (xy 116.92001 116.258073) (xy 118.62001 116.258073) (xy 118.744492 116.245813) + (xy 118.86419 116.209503) (xy 118.974504 116.150538) (xy 119.071195 116.071186) (xy 119.150547 115.974495) (xy 119.209512 115.864181) + (xy 119.245822 115.744483) (xy 119.258082 115.620001) (xy 119.258082 113.920001) (xy 119.245822 113.795519) (xy 119.209512 113.675821) + (xy 119.150547 113.565507) (xy 119.071195 113.468816) (xy 118.974504 113.389464) (xy 118.86419 113.330499) (xy 118.744492 113.294189) + (xy 118.62001 113.281929) (xy 116.92001 113.281929) (xy 116.795528 113.294189) (xy 116.67583 113.330499) (xy 116.565516 113.389464) + (xy 116.468825 113.468816) (xy 116.389473 113.565507) (xy 116.330508 113.675821) (xy 116.308497 113.748381) (xy 116.176642 113.616526) + (xy 115.933421 113.454011) (xy 115.663168 113.342069) (xy 115.37627 113.285001) (xy 115.08375 113.285001) (xy 114.796852 113.342069) + (xy 114.526599 113.454011) (xy 114.283378 113.616526) (xy 114.076535 113.823369) (xy 113.91402 114.06659) (xy 113.802078 114.336843) + (xy 113.74501 114.623741) (xy 89.22001 114.623741) (xy 89.22001 105.030001) (xy 89.611938 105.030001) (xy 89.611938 106.730001) + (xy 89.624198 106.854483) (xy 89.660508 106.974181) (xy 89.719473 107.084495) (xy 89.798825 107.181186) (xy 89.895516 107.260538) + (xy 90.00583 107.319503) (xy 90.125528 107.355813) (xy 90.25001 107.368073) (xy 91.95001 107.368073) (xy 92.074492 107.355813) + (xy 92.19419 107.319503) (xy 92.304504 107.260538) (xy 92.401195 107.181186) (xy 92.480547 107.084495) (xy 92.539512 106.974181) + (xy 92.575822 106.854483) (xy 92.588082 106.730001) (xy 92.588082 106.640001) (xy 97.501967 106.640001) (xy 97.605373 106.79476) + (xy 97.805251 106.994638) (xy 98.040283 107.151681) (xy 98.301436 107.259854) (xy 98.578675 107.315001) (xy 98.861345 107.315001) + (xy 99.138584 107.259854) (xy 99.399737 107.151681) (xy 99.634769 106.994638) (xy 99.834647 106.79476) (xy 99.99169 106.559728) + (xy 100.099863 106.298575) (xy 100.15501 106.021336) (xy 100.15501 105.738666) (xy 100.099863 105.461427) (xy 99.99169 105.200274) + (xy 99.834647 104.965242) (xy 99.634769 104.765364) (xy 99.402251 104.610001) (xy 99.634769 104.454638) (xy 99.834647 104.25476) + (xy 99.99169 104.019728) (xy 100.099863 103.758575) (xy 100.15501 103.481336) (xy 100.15501 103.198666) (xy 100.099863 102.921427) + (xy 99.99169 102.660274) (xy 99.834647 102.425242) (xy 99.634769 102.225364) (xy 99.402251 102.070001) (xy 99.634769 101.914638) + (xy 99.834647 101.71476) (xy 99.99169 101.479728) (xy 100.099863 101.218575) (xy 100.15501 100.941336) (xy 100.15501 100.658666) + (xy 100.099863 100.381427) (xy 99.99169 100.120274) (xy 99.834647 99.885242) (xy 99.634769 99.685364) (xy 99.402251 99.530001) + (xy 99.634769 99.374638) (xy 99.834647 99.17476) (xy 99.99169 98.939728) (xy 100.099863 98.678575) (xy 100.15501 98.401336) + (xy 100.15501 98.118666) (xy 100.099863 97.841427) (xy 99.99169 97.580274) (xy 99.834647 97.345242) (xy 99.634769 97.145364) + (xy 99.402251 96.990001) (xy 99.634769 96.834638) (xy 99.834647 96.63476) (xy 99.99169 96.399728) (xy 100.099863 96.138575) + (xy 100.15501 95.861336) (xy 100.15501 95.578666) (xy 100.099863 95.301427) (xy 99.99169 95.040274) (xy 99.834647 94.805242) + (xy 99.634769 94.605364) (xy 99.402251 94.450001) (xy 99.634769 94.294638) (xy 99.834647 94.09476) (xy 99.99169 93.859728) + (xy 100.099863 93.598575) (xy 100.15501 93.321336) (xy 100.15501 93.038666) (xy 100.118698 92.856114) (xy 103.745023 89.22979) + (xy 103.774021 89.205992) (xy 103.868994 89.090267) (xy 103.939566 88.958238) (xy 103.983023 88.814977) (xy 103.99402 88.703324) + (xy 103.99402 88.703315) (xy 103.997696 88.665992) (xy 103.99402 88.628669) (xy 103.99402 80.338666) (xy 112.52501 80.338666) + (xy 112.52501 80.621336) (xy 112.580157 80.898575) (xy 112.68833 81.159728) (xy 112.845373 81.39476) (xy 113.045251 81.594638) + (xy 113.277769 81.750001) (xy 113.045251 81.905364) (xy 112.845373 82.105242) (xy 112.68833 82.340274) (xy 112.580157 82.601427) + (xy 112.52501 82.878666) (xy 112.52501 83.161336) (xy 112.580157 83.438575) (xy 112.68833 83.699728) (xy 112.845373 83.93476) + (xy 113.045251 84.134638) (xy 113.277769 84.290001) (xy 113.045251 84.445364) (xy 112.845373 84.645242) (xy 112.68833 84.880274) + (xy 112.580157 85.141427) (xy 112.52501 85.418666) (xy 112.52501 85.701336) (xy 112.580157 85.978575) (xy 112.68833 86.239728) + (xy 112.845373 86.47476) (xy 113.045251 86.674638) (xy 113.277769 86.830001) (xy 113.045251 86.985364) (xy 112.845373 87.185242) + (xy 112.68833 87.420274) (xy 112.580157 87.681427) (xy 112.52501 87.958666) (xy 112.52501 88.241336) (xy 112.580157 88.518575) + (xy 112.68833 88.779728) (xy 112.845373 89.01476) (xy 113.045251 89.214638) (xy 113.277769 89.370001) (xy 113.045251 89.525364) + (xy 112.845373 89.725242) (xy 112.68833 89.960274) (xy 112.580157 90.221427) (xy 112.52501 90.498666) (xy 112.52501 90.781336) + (xy 112.580157 91.058575) (xy 112.68833 91.319728) (xy 112.845373 91.55476) (xy 113.045251 91.754638) (xy 113.277769 91.910001) + (xy 113.045251 92.065364) (xy 112.845373 92.265242) (xy 112.68833 92.500274) (xy 112.580157 92.761427) (xy 112.52501 93.038666) + (xy 112.52501 93.321336) (xy 112.580157 93.598575) (xy 112.68833 93.859728) (xy 112.845373 94.09476) (xy 113.045251 94.294638) + (xy 113.277769 94.450001) (xy 113.045251 94.605364) (xy 112.845373 94.805242) (xy 112.68833 95.040274) (xy 112.580157 95.301427) + (xy 112.52501 95.578666) (xy 112.52501 95.861336) (xy 112.580157 96.138575) (xy 112.68833 96.399728) (xy 112.845373 96.63476) + (xy 113.045251 96.834638) (xy 113.277769 96.990001) (xy 113.045251 97.145364) (xy 112.845373 97.345242) (xy 112.68833 97.580274) + (xy 112.580157 97.841427) (xy 112.52501 98.118666) (xy 112.52501 98.401336) (xy 112.580157 98.678575) (xy 112.68833 98.939728) + (xy 112.845373 99.17476) (xy 113.045251 99.374638) (xy 113.277769 99.530001) (xy 113.045251 99.685364) (xy 112.845373 99.885242) + (xy 112.68833 100.120274) (xy 112.580157 100.381427) (xy 112.52501 100.658666) (xy 112.52501 100.941336) (xy 112.580157 101.218575) + (xy 112.68833 101.479728) (xy 112.845373 101.71476) (xy 113.045251 101.914638) (xy 113.277769 102.070001) (xy 113.045251 102.225364) + (xy 112.845373 102.425242) (xy 112.68833 102.660274) (xy 112.580157 102.921427) (xy 112.52501 103.198666) (xy 112.52501 103.481336) + (xy 112.580157 103.758575) (xy 112.68833 104.019728) (xy 112.845373 104.25476) (xy 113.045251 104.454638) (xy 113.277769 104.610001) + (xy 113.045251 104.765364) (xy 112.845373 104.965242) (xy 112.68833 105.200274) (xy 112.580157 105.461427) (xy 112.52501 105.738666) + (xy 112.52501 106.021336) (xy 112.580157 106.298575) (xy 112.68833 106.559728) (xy 112.845373 106.79476) (xy 113.045251 106.994638) + (xy 113.280283 107.151681) (xy 113.541436 107.259854) (xy 113.818675 107.315001) (xy 114.101345 107.315001) (xy 114.378584 107.259854) + (xy 114.639737 107.151681) (xy 114.874769 106.994638) (xy 115.074647 106.79476) (xy 115.178053 106.640001) (xy 120.091938 106.640001) + (xy 120.091938 106.730001) (xy 120.104198 106.854483) (xy 120.140508 106.974181) (xy 120.199473 107.084495) (xy 120.278825 107.181186) + (xy 120.375516 107.260538) (xy 120.48583 107.319503) (xy 120.605528 107.355813) (xy 120.73001 107.368073) (xy 122.43001 107.368073) + (xy 122.554492 107.355813) (xy 122.67419 107.319503) (xy 122.784504 107.260538) (xy 122.881195 107.181186) (xy 122.960547 107.084495) + (xy 123.019512 106.974181) (xy 123.055822 106.854483) (xy 123.068082 106.730001) (xy 123.068082 105.030001) (xy 123.055822 104.905519) + (xy 123.019512 104.785821) (xy 122.960547 104.675507) (xy 122.881195 104.578816) (xy 122.784504 104.499464) (xy 122.67419 104.440499) + (xy 122.60163 104.418488) (xy 122.733485 104.286633) (xy 122.896 104.043412) (xy 123.007942 103.773159) (xy 123.06501 103.486261) + (xy 123.06501 103.193741) (xy 123.007942 102.906843) (xy 122.896 102.63659) (xy 122.733485 102.393369) (xy 122.526642 102.186526) + (xy 122.35225 102.070001) (xy 122.526642 101.953476) (xy 122.733485 101.746633) (xy 122.896 101.503412) (xy 123.007942 101.233159) + (xy 123.06501 100.946261) (xy 123.06501 100.653741) (xy 123.007942 100.366843) (xy 122.896 100.09659) (xy 122.733485 99.853369) + (xy 122.526642 99.646526) (xy 122.35225 99.530001) (xy 122.526642 99.413476) (xy 122.733485 99.206633) (xy 122.896 98.963412) + (xy 123.007942 98.693159) (xy 123.06501 98.406261) (xy 123.06501 98.113741) (xy 123.007942 97.826843) (xy 122.896 97.55659) + (xy 122.733485 97.313369) (xy 122.526642 97.106526) (xy 122.35225 96.990001) (xy 122.526642 96.873476) (xy 122.733485 96.666633) + (xy 122.896 96.423412) (xy 123.007942 96.153159) (xy 123.06501 95.866261) (xy 123.06501 95.573741) (xy 123.007942 95.286843) + (xy 122.896 95.01659) (xy 122.733485 94.773369) (xy 122.526642 94.566526) (xy 122.35225 94.450001) (xy 122.526642 94.333476) + (xy 122.733485 94.126633) (xy 122.896 93.883412) (xy 123.007942 93.613159) (xy 123.06501 93.326261) (xy 123.06501 93.033741) + (xy 123.007942 92.746843) (xy 122.896 92.47659) (xy 122.733485 92.233369) (xy 122.526642 92.026526) (xy 122.35225 91.910001) + (xy 122.526642 91.793476) (xy 122.733485 91.586633) (xy 122.896 91.343412) (xy 123.007942 91.073159) (xy 123.06501 90.786261) + (xy 123.06501 90.493741) (xy 123.007942 90.206843) (xy 122.896 89.93659) (xy 122.733485 89.693369) (xy 122.526642 89.486526) + (xy 122.35225 89.370001) (xy 122.526642 89.253476) (xy 122.733485 89.046633) (xy 122.896 88.803412) (xy 123.007942 88.533159) + (xy 123.06501 88.246261) (xy 123.06501 87.953741) (xy 123.007942 87.666843) (xy 122.896 87.39659) (xy 122.733485 87.153369) + (xy 122.526642 86.946526) (xy 122.35225 86.830001) (xy 122.526642 86.713476) (xy 122.733485 86.506633) (xy 122.896 86.263412) + (xy 123.007942 85.993159) (xy 123.06501 85.706261) (xy 123.06501 85.413741) (xy 123.007942 85.126843) (xy 122.896 84.85659) + (xy 122.733485 84.613369) (xy 122.526642 84.406526) (xy 122.35225 84.290001) (xy 122.526642 84.173476) (xy 122.733485 83.966633) + (xy 122.896 83.723412) (xy 123.007942 83.453159) (xy 123.06501 83.166261) (xy 123.06501 82.873741) (xy 123.007942 82.586843) + (xy 122.896 82.31659) (xy 122.733485 82.073369) (xy 122.526642 81.866526) (xy 122.35225 81.750001) (xy 122.526642 81.633476) + (xy 122.733485 81.426633) (xy 122.896 81.183412) (xy 123.007942 80.913159) (xy 123.06501 80.626261) (xy 123.06501 80.333741) + (xy 123.007942 80.046843) (xy 122.896 79.77659) (xy 122.733485 79.533369) (xy 122.526642 79.326526) (xy 122.344476 79.204806) + (xy 122.461365 79.135179) (xy 122.677598 78.94027) (xy 122.851651 78.706921) (xy 122.976835 78.4441) (xy 123.021486 78.296891) + (xy 122.900165 78.067001) (xy 121.70701 78.067001) (xy 121.70701 78.087001) (xy 121.45301 78.087001) (xy 121.45301 78.067001) + (xy 120.259855 78.067001) (xy 120.138534 78.296891) (xy 120.183185 78.4441) (xy 120.308369 78.706921) (xy 120.482422 78.94027) + (xy 120.698655 79.135179) (xy 120.815544 79.204806) (xy 120.633378 79.326526) (xy 120.426535 79.533369) (xy 120.301832 79.720001) + (xy 115.178053 79.720001) (xy 115.074647 79.565242) (xy 114.874769 79.365364) (xy 114.639737 79.208321) (xy 114.629145 79.203934) + (xy 114.815141 79.092386) (xy 115.023529 78.903415) (xy 115.191047 78.677421) (xy 115.311256 78.423088) (xy 115.351914 78.28904) + (xy 115.229925 78.067001) (xy 114.08701 78.067001) (xy 114.08701 78.087001) (xy 113.83301 78.087001) (xy 113.83301 78.067001) + (xy 112.690095 78.067001) (xy 112.568106 78.28904) (xy 112.608764 78.423088) (xy 112.728973 78.677421) (xy 112.896491 78.903415) + (xy 113.104879 79.092386) (xy 113.290875 79.203934) (xy 113.280283 79.208321) (xy 113.045251 79.365364) (xy 112.845373 79.565242) + (xy 112.68833 79.800274) (xy 112.580157 80.061427) (xy 112.52501 80.338666) (xy 103.99402 80.338666) (xy 103.99402 71.329202) + (xy 105.144556 70.178666) (xy 112.52501 70.178666) (xy 112.52501 70.461336) (xy 112.580157 70.738575) (xy 112.68833 70.999728) + (xy 112.845373 71.23476) (xy 113.045251 71.434638) (xy 113.277769 71.590001) (xy 113.045251 71.745364) (xy 112.845373 71.945242) + (xy 112.68833 72.180274) (xy 112.580157 72.441427) (xy 112.52501 72.718666) (xy 112.52501 73.001336) (xy 112.580157 73.278575) + (xy 112.68833 73.539728) (xy 112.845373 73.77476) (xy 113.045251 73.974638) (xy 113.277769 74.130001) (xy 113.045251 74.285364) + (xy 112.845373 74.485242) (xy 112.68833 74.720274) (xy 112.580157 74.981427) (xy 112.52501 75.258666) (xy 112.52501 75.541336) + (xy 112.580157 75.818575) (xy 112.68833 76.079728) (xy 112.845373 76.31476) (xy 113.045251 76.514638) (xy 113.280283 76.671681) + (xy 113.290875 76.676068) (xy 113.104879 76.787616) (xy 112.896491 76.976587) (xy 112.728973 77.202581) (xy 112.608764 77.456914) + (xy 112.568106 77.590962) (xy 112.690095 77.813001) (xy 113.83301 77.813001) (xy 113.83301 77.793001) (xy 114.08701 77.793001) + (xy 114.08701 77.813001) (xy 115.229925 77.813001) (xy 115.351914 77.590962) (xy 115.311256 77.456914) (xy 115.191047 77.202581) + (xy 115.023529 76.976587) (xy 114.815141 76.787616) (xy 114.629145 76.676068) (xy 114.639737 76.671681) (xy 114.874769 76.514638) + (xy 115.074647 76.31476) (xy 115.178053 76.160001) (xy 120.301832 76.160001) (xy 120.426535 76.346633) (xy 120.633378 76.553476) + (xy 120.815544 76.675196) (xy 120.698655 76.744823) (xy 120.482422 76.939732) (xy 120.308369 77.173081) (xy 120.183185 77.435902) + (xy 120.138534 77.583111) (xy 120.259855 77.813001) (xy 121.45301 77.813001) (xy 121.45301 77.793001) (xy 121.70701 77.793001) + (xy 121.70701 77.813001) (xy 122.900165 77.813001) (xy 123.021486 77.583111) (xy 122.976835 77.435902) (xy 122.851651 77.173081) + (xy 122.677598 76.939732) (xy 122.461365 76.744823) (xy 122.344476 76.675196) (xy 122.526642 76.553476) (xy 122.733485 76.346633) + (xy 122.896 76.103412) (xy 123.007942 75.833159) (xy 123.06501 75.546261) (xy 123.06501 75.253741) (xy 123.007942 74.966843) + (xy 122.896 74.69659) (xy 122.733485 74.453369) (xy 122.526642 74.246526) (xy 122.35225 74.130001) (xy 122.526642 74.013476) + (xy 122.733485 73.806633) (xy 122.896 73.563412) (xy 123.007942 73.293159) (xy 123.06501 73.006261) (xy 123.06501 72.713741) + (xy 123.007942 72.426843) (xy 122.896 72.15659) (xy 122.733485 71.913369) (xy 122.526642 71.706526) (xy 122.35225 71.590001) + (xy 122.526642 71.473476) (xy 122.733485 71.266633) (xy 122.896 71.023412) (xy 123.007942 70.753159) (xy 123.06501 70.466261) + (xy 123.06501 70.173741) (xy 123.007942 69.886843) (xy 122.896 69.61659) (xy 122.733485 69.373369) (xy 122.526642 69.166526) + (xy 122.283421 69.004011) (xy 122.013168 68.892069) (xy 121.72627 68.835001) (xy 121.43375 68.835001) (xy 121.146852 68.892069) + (xy 120.876599 69.004011) (xy 120.633378 69.166526) (xy 120.426535 69.373369) (xy 120.33524 69.510001) (xy 115.144645 69.510001) + (xy 115.074647 69.405242) (xy 114.874769 69.205364) (xy 114.639737 69.048321) (xy 114.378584 68.940148) (xy 114.101345 68.885001) + (xy 113.818675 68.885001) (xy 113.541436 68.940148) (xy 113.280283 69.048321) (xy 113.045251 69.205364) (xy 112.845373 69.405242) + (xy 112.68833 69.640274) (xy 112.580157 69.901427) (xy 112.52501 70.178666) (xy 105.144556 70.178666) (xy 106.478211 68.845012) + (xy 113.864679 68.845012) (xy 113.902001 68.848688) (xy 113.939323 68.845012) (xy 113.939334 68.845012) (xy 114.050987 68.834015) + (xy 114.194248 68.790558) (xy 114.326277 68.719986) (xy 114.442002 68.625013) (xy 114.465805 68.596009) (xy 119.551013 63.510802) + (xy 119.580011 63.487004) (xy 119.646861 63.405547) (xy 119.674984 63.37128) (xy 119.745556 63.23925) (xy 119.754124 63.211004) + (xy 119.789013 63.095989) (xy 119.80001 62.984336) (xy 119.80001 62.984326) (xy 119.803686 62.947004) (xy 119.80001 62.909681) + (xy 119.80001 60.197334) (xy 119.803687 60.160001) (xy 119.789013 60.011015) (xy 119.745556 59.867754) (xy 119.674984 59.735725) + (xy 119.580011 59.62) (xy 119.464286 59.525027) (xy 119.332257 59.454455) (xy 119.188996 59.410998) (xy 119.077343 59.400001) + (xy 119.04001 59.396324) (xy 119.002677 59.400001) (xy 118.867785 59.400001) (xy 118.806972 59.308988) (xy 118.621023 59.123039) + (xy 118.402369 58.97694) (xy 118.159415 58.876305) (xy 117.901496 58.825001) (xy 117.638524 58.825001) (xy 117.380605 58.876305) + (xy 117.137651 58.97694) (xy 116.918997 59.123039) (xy 116.733048 59.308988) (xy 116.586949 59.527642) (xy 116.486314 59.770596) + (xy 116.43501 60.028515) (xy 116.43501 60.291487) (xy 116.486314 60.549406) (xy 116.586949 60.79236) (xy 116.733048 61.011014) + (xy 116.918997 61.196963) (xy 117.137651 61.343062) (xy 117.34754 61.430001) (xy 117.137651 61.51694) (xy 116.918997 61.663039) + (xy 116.733048 61.848988) (xy 116.586949 62.067642) (xy 116.486314 62.310596) (xy 116.43501 62.568515) (xy 116.43501 62.831487) + (xy 116.456365 62.938844) (xy 112.520208 66.875002) (xy 106.014331 66.875002) (xy 105.977008 66.871326) (xy 105.939685 66.875002) + (xy 105.939676 66.875002) (xy 105.828023 66.885999) (xy 105.684762 66.929456) (xy 105.552733 67.000028) (xy 105.552731 67.000029) + (xy 105.552732 67.000029) (xy 105.466005 67.071203) (xy 105.466001 67.071207) (xy 105.437008 67.095001) (xy 105.413214 67.123994) + (xy 102.273013 70.264197) (xy 102.244009 70.288) (xy 102.217747 70.320001) (xy 102.149036 70.403725) (xy 102.111259 70.4744) + (xy 102.078464 70.535755) (xy 102.035007 70.679016) (xy 102.02401 70.790669) (xy 102.02401 70.790679) (xy 102.020334 70.828001) + (xy 102.02401 70.865323) (xy 102.024011 81.181198) (xy 100.15501 83.0502) (xy 100.15501 82.878666) (xy 100.099863 82.601427) + (xy 99.99169 82.340274) (xy 99.834647 82.105242) (xy 99.634769 81.905364) (xy 99.402251 81.750001) (xy 99.634769 81.594638) + (xy 99.834647 81.39476) (xy 99.99169 81.159728) (xy 100.099863 80.898575) (xy 100.15501 80.621336) (xy 100.15501 80.338666) + (xy 100.099863 80.061427) (xy 99.99169 79.800274) (xy 99.834647 79.565242) (xy 99.634769 79.365364) (xy 99.402251 79.210001) + (xy 99.634769 79.054638) (xy 99.834647 78.85476) (xy 99.99169 78.619728) (xy 100.099863 78.358575) (xy 100.15501 78.081336) + (xy 100.15501 77.798666) (xy 100.099863 77.521427) (xy 99.99169 77.260274) (xy 99.834647 77.025242) (xy 99.634769 76.825364) + (xy 99.402251 76.670001) (xy 99.634769 76.514638) (xy 99.834647 76.31476) (xy 99.99169 76.079728) (xy 100.099863 75.818575) + (xy 100.15501 75.541336) (xy 100.15501 75.258666) (xy 100.099863 74.981427) (xy 99.99169 74.720274) (xy 99.834647 74.485242) + (xy 99.634769 74.285364) (xy 99.402251 74.130001) (xy 99.634769 73.974638) (xy 99.834647 73.77476) (xy 99.99169 73.539728) + (xy 100.099863 73.278575) (xy 100.15501 73.001336) (xy 100.15501 72.718666) (xy 100.099863 72.441427) (xy 99.99169 72.180274) + (xy 99.834647 71.945242) (xy 99.636049 71.746644) (xy 99.644492 71.745813) (xy 99.76419 71.709503) (xy 99.874504 71.650538) + (xy 99.971195 71.571186) (xy 100.050547 71.474495) (xy 100.109512 71.364181) (xy 100.145822 71.244483) (xy 100.158082 71.120001) + (xy 100.158082 69.520001) (xy 100.145822 69.395519) (xy 100.109512 69.275821) (xy 100.050547 69.165507) (xy 99.971195 69.068816) + (xy 99.874504 68.989464) (xy 99.76419 68.930499) (xy 99.644492 68.894189) (xy 99.52001 68.881929) (xy 97.92001 68.881929) + (xy 97.795528 68.894189) (xy 97.67583 68.930499) (xy 97.565516 68.989464) (xy 97.468825 69.068816) (xy 97.389473 69.165507) + (xy 97.330508 69.275821) (xy 97.294198 69.395519) (xy 97.281938 69.520001) (xy 97.281938 69.560001) (xy 92.378188 69.560001) + (xy 92.253485 69.373369) (xy 92.046642 69.166526) (xy 91.803421 69.004011) (xy 91.533168 68.892069) (xy 91.24627 68.835001) + (xy 90.95375 68.835001) (xy 90.666852 68.892069) (xy 90.396599 69.004011) (xy 90.153378 69.166526) (xy 89.946535 69.373369) + (xy 89.78402 69.61659) (xy 89.672078 69.886843) (xy 89.61501 70.173741) (xy 89.61501 70.466261) (xy 89.672078 70.753159) + (xy 89.78402 71.023412) (xy 89.946535 71.266633) (xy 90.153378 71.473476) (xy 90.32777 71.590001) (xy 90.153378 71.706526) + (xy 89.946535 71.913369) (xy 89.78402 72.15659) (xy 89.672078 72.426843) (xy 89.61501 72.713741) (xy 89.61501 73.006261) + (xy 89.672078 73.293159) (xy 89.78402 73.563412) (xy 89.946535 73.806633) (xy 90.153378 74.013476) (xy 90.32777 74.130001) + (xy 90.153378 74.246526) (xy 89.946535 74.453369) (xy 89.78402 74.69659) (xy 89.672078 74.966843) (xy 89.61501 75.253741) + (xy 89.61501 75.546261) (xy 89.672078 75.833159) (xy 89.78402 76.103412) (xy 89.946535 76.346633) (xy 90.153378 76.553476) + (xy 90.32777 76.670001) (xy 90.153378 76.786526) (xy 89.946535 76.993369) (xy 89.78402 77.23659) (xy 89.672078 77.506843) + (xy 89.61501 77.793741) (xy 89.61501 78.086261) (xy 89.672078 78.373159) (xy 89.78402 78.643412) (xy 89.946535 78.886633) + (xy 90.153378 79.093476) (xy 90.32777 79.210001) (xy 90.153378 79.326526) (xy 89.946535 79.533369) (xy 89.78402 79.77659) + (xy 89.672078 80.046843) (xy 89.61501 80.333741) (xy 89.61501 80.626261) (xy 89.672078 80.913159) (xy 89.78402 81.183412) + (xy 89.946535 81.426633) (xy 90.153378 81.633476) (xy 90.32777 81.750001) (xy 90.153378 81.866526) (xy 89.946535 82.073369) + (xy 89.78402 82.31659) (xy 89.672078 82.586843) (xy 89.61501 82.873741) (xy 89.61501 83.166261) (xy 89.672078 83.453159) + (xy 89.78402 83.723412) (xy 89.946535 83.966633) (xy 90.153378 84.173476) (xy 90.32777 84.290001) (xy 90.153378 84.406526) + (xy 89.946535 84.613369) (xy 89.78402 84.85659) (xy 89.672078 85.126843) (xy 89.61501 85.413741) (xy 89.61501 85.706261) + (xy 89.672078 85.993159) (xy 89.78402 86.263412) (xy 89.946535 86.506633) (xy 90.153378 86.713476) (xy 90.32777 86.830001) + (xy 90.153378 86.946526) (xy 89.946535 87.153369) (xy 89.78402 87.39659) (xy 89.672078 87.666843) (xy 89.61501 87.953741) + (xy 89.61501 88.246261) (xy 89.672078 88.533159) (xy 89.78402 88.803412) (xy 89.946535 89.046633) (xy 90.153378 89.253476) + (xy 90.32777 89.370001) (xy 90.153378 89.486526) (xy 89.946535 89.693369) (xy 89.78402 89.93659) (xy 89.672078 90.206843) + (xy 89.61501 90.493741) (xy 89.61501 90.786261) (xy 89.672078 91.073159) (xy 89.78402 91.343412) (xy 89.946535 91.586633) + (xy 90.153378 91.793476) (xy 90.32777 91.910001) (xy 90.153378 92.026526) (xy 89.946535 92.233369) (xy 89.78402 92.47659) + (xy 89.672078 92.746843) (xy 89.61501 93.033741) (xy 89.61501 93.326261) (xy 89.672078 93.613159) (xy 89.78402 93.883412) + (xy 89.946535 94.126633) (xy 90.153378 94.333476) (xy 90.32777 94.450001) (xy 90.153378 94.566526) (xy 89.946535 94.773369) + (xy 89.78402 95.01659) (xy 89.672078 95.286843) (xy 89.61501 95.573741) (xy 89.61501 95.866261) (xy 89.672078 96.153159) + (xy 89.78402 96.423412) (xy 89.946535 96.666633) (xy 90.153378 96.873476) (xy 90.32777 96.990001) (xy 90.153378 97.106526) + (xy 89.946535 97.313369) (xy 89.78402 97.55659) (xy 89.672078 97.826843) (xy 89.61501 98.113741) (xy 89.61501 98.406261) + (xy 89.672078 98.693159) (xy 89.78402 98.963412) (xy 89.946535 99.206633) (xy 90.153378 99.413476) (xy 90.32777 99.530001) + (xy 90.153378 99.646526) (xy 89.946535 99.853369) (xy 89.78402 100.09659) (xy 89.672078 100.366843) (xy 89.61501 100.653741) + (xy 89.61501 100.946261) (xy 89.672078 101.233159) (xy 89.78402 101.503412) (xy 89.946535 101.746633) (xy 90.153378 101.953476) + (xy 90.32777 102.070001) (xy 90.153378 102.186526) (xy 89.946535 102.393369) (xy 89.78402 102.63659) (xy 89.672078 102.906843) + (xy 89.61501 103.193741) (xy 89.61501 103.486261) (xy 89.672078 103.773159) (xy 89.78402 104.043412) (xy 89.946535 104.286633) + (xy 90.07839 104.418488) (xy 90.00583 104.440499) (xy 89.895516 104.499464) (xy 89.798825 104.578816) (xy 89.719473 104.675507) + (xy 89.660508 104.785821) (xy 89.624198 104.905519) (xy 89.611938 105.030001) (xy 89.22001 105.030001) (xy 89.22001 58.890001) + (xy 97.179349 58.890001) (xy 97.201939 59.119361) (xy 97.268841 59.339907) (xy 97.367776 59.525001) (xy 97.268841 59.710095) + (xy 97.201939 59.930641) (xy 97.179349 60.160001) (xy 97.201939 60.389361) (xy 97.268841 60.609907) (xy 97.367776 60.795001) + (xy 97.268841 60.980095) (xy 97.201939 61.200641) (xy 97.179349 61.430001) (xy 97.201939 61.659361) (xy 97.26288 61.860258) + (xy 97.230508 61.920821) (xy 97.194198 62.040519) (xy 97.181938 62.165001) (xy 97.181938 63.235001) (xy 97.194198 63.359483) + (xy 97.230508 63.479181) (xy 97.289473 63.589495) (xy 97.368825 63.686186) (xy 97.465516 63.765538) (xy 97.57583 63.824503) + (xy 97.695528 63.860813) (xy 97.82001 63.873073) (xy 99.62001 63.873073) (xy 99.744492 63.860813) (xy 99.86419 63.824503) + (xy 99.974504 63.765538) (xy 100.071195 63.686186) (xy 100.150547 63.589495) (xy 100.209512 63.479181) (xy 100.21533 63.460001) + (xy 109.052235 63.460001) (xy 109.113048 63.551014) (xy 109.298997 63.736963) (xy 109.517651 63.883062) (xy 109.760605 63.983697) + (xy 110.018524 64.035001) (xy 110.281496 64.035001) (xy 110.539415 63.983697) (xy 110.782369 63.883062) (xy 111.001023 63.736963) + (xy 111.186972 63.551014) (xy 111.333071 63.33236) (xy 111.433706 63.089406) (xy 111.48501 62.831487) (xy 111.48501 62.568515) + (xy 111.433706 62.310596) (xy 111.333071 62.067642) (xy 111.186972 61.848988) (xy 111.001023 61.663039) (xy 110.782369 61.51694) + (xy 110.57248 61.430001) (xy 110.782369 61.343062) (xy 111.001023 61.196963) (xy 111.186972 61.011014) (xy 111.333071 60.79236) + (xy 111.433706 60.549406) (xy 111.48501 60.291487) (xy 111.48501 60.028515) (xy 111.433706 59.770596) (xy 111.333071 59.527642) + (xy 111.186972 59.308988) (xy 111.001023 59.123039) (xy 110.782369 58.97694) (xy 110.539415 58.876305) (xy 110.281496 58.825001) + (xy 110.018524 58.825001) (xy 109.760605 58.876305) (xy 109.517651 58.97694) (xy 109.298997 59.123039) (xy 109.113048 59.308988) + (xy 109.052235 59.400001) (xy 103.434023 59.400001) (xy 103.447215 59.380257) (xy 103.525236 59.191899) (xy 103.56501 58.99194) + (xy 103.56501 58.929802) (xy 107.289812 55.205001) (xy 120.272677 55.205001) (xy 120.31001 55.208678) (xy 120.458996 55.194004) + (xy 120.602257 55.150547) (xy 120.734286 55.079975) (xy 120.850011 54.985002) (xy 120.944984 54.869277) (xy 121.015556 54.737248) + (xy 121.059013 54.593987) (xy 121.07001 54.482334) (xy 121.073687 54.445001) (xy 121.07001 54.407668) (xy 121.07001 53.243712) + (xy 121.113947 53.199775) (xy 121.227215 53.030257) (xy 121.305236 52.841899) (xy 121.34501 52.64194) (xy 121.34501 52.438062) + (xy 121.305236 52.238103) (xy 121.227215 52.049745) (xy 121.113947 51.880227) (xy 120.969784 51.736064) (xy 120.800266 51.622796) + (xy 120.611908 51.544775) (xy 120.411949 51.505001) (xy 120.208071 51.505001) (xy 120.008112 51.544775) (xy 119.819754 51.622796) + (xy 119.650236 51.736064) (xy 119.506073 51.880227) (xy 119.392805 52.049745) (xy 119.314784 52.238103) (xy 119.27501 52.438062) + (xy 119.27501 52.64194) (xy 119.314784 52.841899) (xy 119.392805 53.030257) (xy 119.506073 53.199775) (xy 119.55001 53.243712) + (xy 119.550011 53.685001) (xy 115.372348 53.685001) (xy 115.399512 53.634181) (xy 115.435822 53.514483) (xy 115.448082 53.390001) + (xy 115.448082 51.690001) (xy 115.435822 51.565519) (xy 115.399512 51.445821) (xy 115.340547 51.335507) (xy 115.261195 51.238816) + (xy 115.164504 51.159464) (xy 115.05419 51.100499) (xy 114.934492 51.064189) (xy 114.81001 51.051929) (xy 113.11001 51.051929) + (xy 112.985528 51.064189) (xy 112.86583 51.100499) (xy 112.755516 51.159464) (xy 112.658825 51.238816) (xy 112.579473 51.335507) + (xy 112.520508 51.445821) (xy 112.498497 51.518381) (xy 112.366642 51.386526) (xy 112.123421 51.224011) (xy 111.853168 51.112069) + (xy 111.56627 51.055001) (xy 111.27375 51.055001) (xy 110.986852 51.112069) (xy 110.716599 51.224011) (xy 110.473378 51.386526) + (xy 110.266535 51.593369) (xy 110.15001 51.767761) (xy 110.033485 51.593369) (xy 109.826642 51.386526) (xy 109.583421 51.224011) + (xy 109.313168 51.112069) (xy 109.02627 51.055001) (xy 108.73375 51.055001) (xy 108.446852 51.112069) (xy 108.176599 51.224011) + (xy 107.933378 51.386526) (xy 107.726535 51.593369) (xy 107.61001 51.767761) (xy 107.493485 51.593369) (xy 107.286642 51.386526) + (xy 107.043421 51.224011) (xy 106.773168 51.112069) (xy 106.48627 51.055001) (xy 106.19375 51.055001) (xy 105.906852 51.112069) + (xy 105.636599 51.224011) (xy 105.393378 51.386526) (xy 105.186535 51.593369) (xy 105.07001 51.767761) (xy 104.953485 51.593369) + (xy 104.746642 51.386526) (xy 104.503421 51.224011) (xy 104.233168 51.112069) (xy 103.94627 51.055001) (xy 103.65375 51.055001) + (xy 103.366852 51.112069) (xy 103.096599 51.224011) (xy 102.853378 51.386526) (xy 102.646535 51.593369) (xy 102.53001 51.767761) + (xy 102.413485 51.593369) (xy 102.206642 51.386526) (xy 101.963421 51.224011) (xy 101.693168 51.112069) (xy 101.40627 51.055001) + (xy 101.11375 51.055001) (xy 100.826852 51.112069) (xy 100.556599 51.224011) (xy 100.313378 51.386526) (xy 100.106535 51.593369) + (xy 99.984815 51.775535) (xy 99.915188 51.658646) (xy 99.720279 51.442413) (xy 99.48693 51.26836) (xy 99.224109 51.143176) + (xy 99.0769 51.098525) (xy 98.84701 51.219846) (xy 98.84701 52.413001) (xy 98.86701 52.413001) (xy 98.86701 52.667001) + (xy 98.84701 52.667001) (xy 98.84701 53.860156) (xy 99.0769 53.981477) (xy 99.224109 53.936826) (xy 99.48693 53.811642) + (xy 99.720279 53.637589) (xy 99.915188 53.421356) (xy 99.984815 53.304467) (xy 100.106535 53.486633) (xy 100.313378 53.693476) + (xy 100.556599 53.855991) (xy 100.826852 53.967933) (xy 101.11375 54.025001) (xy 101.40627 54.025001) (xy 101.693168 53.967933) + (xy 101.963421 53.855991) (xy 102.206642 53.693476) (xy 102.413485 53.486633) (xy 102.53001 53.312241) (xy 102.646535 53.486633) + (xy 102.853378 53.693476) (xy 103.096599 53.855991) (xy 103.366852 53.967933) (xy 103.65375 54.025001) (xy 103.94627 54.025001) + (xy 104.233168 53.967933) (xy 104.503421 53.855991) (xy 104.746642 53.693476) (xy 104.953485 53.486633) (xy 105.07001 53.312241) + (xy 105.186535 53.486633) (xy 105.393378 53.693476) (xy 105.636599 53.855991) (xy 105.906852 53.967933) (xy 106.19375 54.025001) + (xy 106.320208 54.025001) (xy 102.490209 57.855001) (xy 102.428071 57.855001) (xy 102.228112 57.894775) (xy 102.039754 57.972796) + (xy 101.870236 58.086064) (xy 101.726073 58.230227) (xy 101.612805 58.399745) (xy 101.534784 58.588103) (xy 101.49501 58.788062) + (xy 101.49501 58.99194) (xy 101.534784 59.191899) (xy 101.612805 59.380257) (xy 101.625997 59.400001) (xy 100.139058 59.400001) + (xy 100.171179 59.339907) (xy 100.238081 59.119361) (xy 100.260671 58.890001) (xy 100.238081 58.660641) (xy 100.171179 58.440095) + (xy 100.062536 58.236839) (xy 99.916328 58.058683) (xy 99.738172 57.912475) (xy 99.534916 57.803832) (xy 99.31437 57.73693) + (xy 99.142487 57.720001) (xy 98.297533 57.720001) (xy 98.12565 57.73693) (xy 97.905104 57.803832) (xy 97.701848 57.912475) + (xy 97.523692 58.058683) (xy 97.377484 58.236839) (xy 97.268841 58.440095) (xy 97.201939 58.660641) (xy 97.179349 58.890001) + (xy 89.22001 58.890001) (xy 89.22001 52.896892) (xy 97.278529 52.896892) (xy 97.375853 53.171253) (xy 97.524832 53.421356) + (xy 97.719741 53.637589) (xy 97.95309 53.811642) (xy 98.215911 53.936826) (xy 98.36312 53.981477) (xy 98.59301 53.860156) + (xy 98.59301 52.667001) (xy 97.399196 52.667001) (xy 97.278529 52.896892) (xy 89.22001 52.896892) (xy 89.22001 52.57228) + (xy 89.258168 52.18311) (xy 97.278529 52.18311) (xy 97.399196 52.413001) (xy 98.59301 52.413001) (xy 98.59301 51.219846) + (xy 98.36312 51.098525) (xy 98.215911 51.143176) (xy 97.95309 51.26836) (xy 97.719741 51.442413) (xy 97.524832 51.658646) + (xy 97.375853 51.908749) (xy 97.278529 52.18311) (xy 89.258168 52.18311) (xy 89.258919 52.175456) (xy 89.36479 51.824793) + (xy 89.536754 51.501376) (xy 89.768264 51.217517) (xy 90.050496 50.984036) (xy 90.372705 50.809818) (xy 90.722624 50.701499) + (xy 91.117453 50.660001) (xy 121.547731 50.660001) + ) + ) + ) +) diff --git a/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.pro b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.pro new file mode 100644 index 0000000..152769c --- /dev/null +++ b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.pro @@ -0,0 +1,33 @@ +update=22/05/2015 07:44:53 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] diff --git a/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.sch b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.sch new file mode 100644 index 0000000..fff8c68 --- /dev/null +++ b/pcb/panel/can_arduino_mini_pcb_panel/can_arduino_mini_pcb_panel.sch @@ -0,0 +1,4 @@ +EESchema Schematic File Version 2 +EELAYER 25 0 +EELAYER END +$EndSCHEMATC diff --git a/pcb/panel/can_arduino_mini_pcb_panel/fabricate/handin.zip b/pcb/panel/can_arduino_mini_pcb_panel/fabricate/handin.zip new file mode 100644 index 0000000000000000000000000000000000000000..fef118f13726370c99200e11290025da7b1171a1 GIT binary patch literal 96177 zcmYiMbzGdi^9Bmzu0@MWDO$8Ri@UqS7I$|qQlPj~+}(=17k77eSsWJU?Dsk6_j%tx z?oBe8xn`2wvY9K&aV6e#A#Y+>xIp^gj%8w8K_wO#C}_B2SSTpC703_B z&tU3ntKC6ofW#J|bY}KSLb)t?tB$L=tx5em;j-anht{BHG3hwf1s5L(ZUxv~sII{$ zWej+Wa%rs#Jh3k$i!d#ti^%u%e{Ik4d%tbI-1L7u+yh^3y*<3;2)++-lW%st=WGRB zPk7XHKR?7?ZoUtly}5wB-bZ2s?o7NMA~7At5`q4=*C3m2Pw>jF;O&lw{o9b)ht%bV zk<&*D(@;Oq&+{W-)BoiHrqlZy9 zR^+@NdVHL53;Eqgeq66@jhJ-%+&&RwW9`4)0yn#TUM}{uwtP#30&Wjd?Vs*#z+U=y z0l=I9`trxRS1>Zl1^0&rilNuCBFfs9u+iJ@{nkUjLYU&wwA||s?!(rr7CqbnWhQRl z7KOy?1ZLjV%Vl@qRxyhq3*tvCf**JT*!}kS-v3ll*{usEe_-rBu6f0LORnf%^( zcptsizKego(*lx_NBF`&H@-gK));==KCh+@1-w1g{9_1Lc@F+KCx6(ITU(k0`5WLj zclaKzATzm6mo&y1ien>$`pS1QY4^=C;7eAbwskpQq(D+wZI zUeaddrInF+L$7heTv#g}Vg)CZ@op3enR(NXap9N0_h|^r(J_Cw1P-5~O~llH`ppCz z4QxesOyQwb>soT}0QAt`Htp=shI0VSeNu z2#QopuAaLFMHNna^N2AOHlQadcSR1Hpx3}+i}haMT&{YES89RCl=zOki3T%WVlBG$ zAeDWOSfT#)L{>pj@G?nZOo$oUx=B5*&!c_l{LQeb4c4JFMnMShz+9?kLx zIh!oU9PQHQR;zDnle)Asf9}6W!O!W8eV?qzMyOf3x^@`c=UW`lbQL_C0-wTRqh_$x zPc8U6J#%h++@xK~+KTCtVSBv>lFNZU&G)S1c-dOV6uHaL1E_A6k4cNQ1z6-VEa#i~ z#a^fMe99)PRy6JJ4_;+1xEHB7R>>SO`gdVin_!BF2fIRaSP~UFHv_R0o43}hIe~n* zwJJAG&?)JV_^fv+h(GbUHD>L1{kz}Q`l!lzr$4x;3h-)|&66eitw@dd+lO)9|F{Vc z_iukq&h(e*+I>vgMs88iwJ6^6$Pzy;ZQ9V*!)C3Um>KEPZyOd@rysVWo4%th+000+ ztZfvc1F?}CjcL|sQ7KOoC7MV}Q)pv;DhOmRmt_H@gR-}S`bTIi$GZbpK}pGLcrZic zT4UTfx)laOGU%AHLjfIugyzMy``u4TXHI;^(aAH|YMNvYVh@4IGr?ZB$Wjd8sHlrf z_M7Ej%LtN^9_JG-iaP`0JGczgvD_DDJ>fD3dBMa!lJYvQ{bl|a8pPC1hUAKk(;UWK z1|K{Ltd+YD@;*E>-i+2$o*z7fwP;a)fLnI*CFlXSAfe2|BYWad?&v9w3wM)$TtS#6 zt;F&tSrYzzRt}lVa{WA0H3mX4Q|<5JxY<|F#5e^@A3VFqlNwy1%K0HeOxwqkW!Uu| zi&Hrf+=lX*IZJapgEqd82QD*`7EIN``>j6;_){ey?OI6NGI}^M4^m19@0UpzaA;!Z zUaRNMd`5+u_GFkmMqAsk6d*8n4PAjJQj++bWyBUZs3sz5@dp4=i<4tK!K1Aq-NR;R2mi)TQPc;RRhMrjo;QcTq zl2h6%gDM~fs8U?3|D1{LGNr!Ohm?jCah}XQ$C8K`5a&N!3ohZmXQQ$j+Nix8BtlDa zdkYBfwL;AN@N)lCuSS@DgoYlp$r1>rnnECCApLQT6{(fr9>kwox#JGO|5h=#-b0S1<7z_qtqnlzDu}K9MYNjs z&R2ituPDVbCI(|)VE{v=<#9p0Cv)X;SH?sCQGY}zS>Pn3EBzLn?lC2aH&JuFd%99; zymqONCh%Fkq05xyqczufjkWPQCz4*53^nFMUw&rw0g7?z7w)(2lG+1$n_ACE^= z5yf^6{XzsP4O~B>6KP>1Qjp;kvr*}E+j+M4%RKXUI-$v@25_pfD6X#6d1f4;iOH2h zajG`HK$_oY{%-dSxy*>$NUc74GXJrB%!f34#ci}ueFgC3zQ5{h@3m^@H*z2dW~0y$ zoy{iZiQ6cl7YO0We1Elu^hxJ8vJ4HJmkH|0EM{&jM$YG05VlVi())+ZRp84b1qVFM86$i`(jpR?ABm@}Av!v3IRl{~&Z_P2ZeBfT~F+Mrl6 zKfNpF=)rF7p~<|ss<6eVz56scDySX`%1B`!k(e*G!6SffHu^mY zzE!B`MDs)GPqWg%Q^a93&ndMv*k~+<=vg87=)KGoxyTHd?FgHPI1)mdHnjPYsIw$H zH#$a0$e}tL)ebEm)9Hl07P;=i(A z%c|UTeuP zQ-VES_^kK8@*gF7Z?%H^2R6+OJX|hYGM3z4N$EYLPN$vZ*LLjk>M=>rL0b_8rgrFn0mh%Yupvqy5OHs z_JgHYzFG88RJSk5{2i-nA8@r#=hj&XW|=yoTkCtX1#)*68LS>8%K3M%f?JMqr^Zl| zr-ZUW&(}o?Yl*j%Vf6seZe6wYr_885X1-??maab$2B8LJ2K{~vZc&@SkRZM93ExQ9 z^4Xi>Juc5!?rO#cX0tWBX+hy~xb)3(O&bH>Qe!mI=QvxAZ9RNWmg`5oTq~U-&s6#M zO`K0~O$=Q0)#ym+%L^^SkebPZkIaQ#!Sw7!1IKY}&2JRR>Wc;aG~ENf7}a&zr1>|T zi)?-QkecimDU4+D{jql4aLXcFN+Cof)23f3i^g!aLOE;CtJTP#e1DFV*GX4X7elId zmEX!yOc`{Zb3SaAl1b`+dn4OmqSfDhbmnPVGlV-!>0r*^EPnHDuja+7A zP33T?*Mx37_I$8qq$IBVXL;or0Xs`90S)l(aCRZyREnTUmcd?cb1J4|SOC@S>UjOh zn$t+Br6y72aJj3vUd)O-C!H|SrfCf8%wL}8Df?l+I;L^rQ(BIkKzFQJ>lqd!6>wje z;oDihDtd4vuM5LMkG-yYi7GmbtkmKVLh=_KBJ^0%)w{Tr1TYiH z62^u}Ay!|WIFDk&3T@5C>GFV6WV;B%?x*@v#odXn(aPhhhxgYOy3$cS$SU2YUyiRsCYlM=#{JdgyXr%P!AV ze`jwe5zvS=XvuXeAFZp79D3-{u!C)rD~)>ypQ<_tgEWQq$Lh>+0imbEZ$gK3FF&o;i z{f?RxL1)8)A`GX1f+F;lq3RU&eFZ5wg0_)b_4vqNB%JXkt4tE6X@13I|5#Y>DOl#_ zg9(wX%Hary6OqmKGQ<_94DYxlvs0r~migIWLJKv_jB1roGFkn} z>Hb3n>h$J;hCH1@&ilU?#qh~*DOSR_ZGYcz{mS;@2P9B;cSt}3#Ll8ZW|wA90#EUz z?c*H4)d2}1@R>2QnN3w*Vp1y8A&nvE^g=4ya3te8wd3V*Nmj@ziOF!v;VdnZfB@U5 zer*cS(G-bDR3j!NBuL)jdXQ&O`W^63BPGbpYneXV0C{`xz+m; zk)gDJiiLx5spXrgp7m4xwbf>+Rf1qlfR{sH@Q7oaJNJ@wTxq)`GiK0k8|YiX^&w)I zlV66I7F$fVXvcX^A=p|L##vKL#?_qe9d|h}AB@oT4G`{Pdn)oE2AL)i$bcOt=ljVB z)G%)r6#&DFz4Kzr`DI~PkSk784YTf^3j$JChUoQgKG^=43GlBY!&};)<37&a;*@g0 z@|2Qgaz40?HHFL+z2tV4*fU{T*S5oD)5h-;YP`w5naigQ4C9* zeLW6b)bSPkmqSx1I9}7nk~qVyje~W!eotNF#*!_@x_ZpGpYjPS~G7K*^tI znzkF`kx~fjk+vIqpGF90jeAb9jvRF_VGGqaAqUMl9*FKuAw-gc2|*C*zMiu~ONZLf z%$HfW6D+09XsTP=-rjDYv0iu2DE(^K46=Sc=CXc7U0vNFZ1^l*ZTS-JJROQdQ}T_$ z&9->uys4Lm$1XG8VAZ9#-WaNbc1Fe5opSusP~#yPYvvux2vhr`JsW>1AgzHoxP{KPs$R zH(^~u#nXam!!IS`Ze}v4XlQEqYtK?JuAV zlWZo?E_s;BwnCiLT#aUo$Tk`2n;eZ!vvH{8KkzcsufH`xQ)lL$>Qb6Vo716>ioL3# zOr0yUzn;xg80J&!zf*SQG~-fs?b@G;d@U*qE0s&hL%cEAd5ZhreIHa7_SZ`dlZdPS zF4rcl2=N-E064!1)b(B_usAhJoSK{MZ$ebVY)I`rT3VP79=1L;QW%-k!>se* zxTo*|K&%@Y?zR^K*zdLvG8*nEHp9vgSJ(P(na$NO(|dlLh;&h@VJ=90zE@^1K?8)7 za^F)ROw5WUO#CiFe3Dm)OfyxpJ_$4-eeB?#F#9C=4Pe>nEr%&Hk(`GZ4bt|W){ZL* z`-1VOENpj!$QvtQYF=!(IBS2IK#*L4pMLZ^sIW_44RhA+)F`$%YkoPmENmmqT@?pb z0btwPka!yR$2(V>PViEm-%F6~s$OzVF=B=lR5<9b0C+TA9VyI!lf(4q1QoV47iY;6 z>HNJDu66p~bEgVshrBUbIqv_O&MBVi{47IcODrZ!8E8nXyJQ0u`WvQ@g%GXDe5Mk& z1E(kXHy%mggfwheEglVYn|q$7e2vJ9f7|4&o?xpYGD7 z&f(H;IJkQ|nzdC0Z%-;D~|U$T!E>+QYz};}<)f{2PQ%H=8^7=;zKa4=#F4 zuB@_7pn?Kssc)4|b{)w|=rsBu5jd1agIKFc_xQ0YKBb$4w`4qG3B+^@gS&#WG5_mU z2HnV}Fv4a@p$NbA(bpNZ9#^|FiCC|)OS#m)O%YD`%|nNA7fXxaAM;t@DjYzUO%0iSp6z37qOT z#&9OZcYnMH;>pGO-q|&m+Zfq*|9WqLsAJTZytH;MJk0*js}t@#6r+LrIW-GA!MHqQ zQ?VV_%>Quu&Ucj!kl%2=Y3DOuVW#D<_FJ)j&EUoCC~c~V`LDhmsS%*TE$n`Ak$%xd zZ|SkK!7XmfM34Kav)L`>NJcLecHOYgt;42!(QhUCCvf#OuHVj{XsJvKuynY@94^9Uo54J?^%MK=3qj93h1BYD_!AIuqAOx@Y=BEo+-4AxY>l0 zb!g!ZN(GMkatWmzSo^b_oSQ&$DJ1Ax78gd28plDrt2O&-d>_q{AZ+ z09#h@$&JpR7gx5L4khqC^Y2Z3%VyrmX{J8O5=jEtm$rh9@OvtmEyDs-*evQc z)+nEqg_x-^3=e1CPR|y6z|X&i^cLbCs<_(SsjHS43s zKB%K!bx7sNvHh~;^m^U60q914e|X;bF-tP9;i3?B29Lc335rx*-c`fdoboyj91Jr zZaIGj9_TIv=r>gugsj`VVcYyR|SFC@f^`qMR!~=B=a(b#!gC(774C?ONyN zTk8z{j4C9zdF#eMnT3R$9{bne-F@pK&kwzgncU2sYtPFGHiO)`(WZE)&0d_=t+_v5 z$;xHv#rozV-4+*IwKT;%4?YGPPTgqUIgH&K;-~%l72|6i*=7F8bs)oI8b*F3N?70)4FFn~enz8*M z!KTw<<(T=*ON!a%4^@&g&aTiAV+`e`?mM>ZXB0NWqee@BOZ*gHiaVyeWUSmM`c1XL zd*iuRA@$%l{O$^3t*CZqz*X8j|J-HFK?K#`_qHyABF~1g8{*M{dsR4Np*OZ`{5}8i zih+_dGM=VLDNln-2iHETuSWcPo_6}2+vUC4N*c}99jT1Nsl^VZOJdWvWe2N>G-joh z`~*Z|A03-L#P^!aZbaUw=@vhZ70kW<-W9(Gv|MAZYMPG33({0 z95Vn&dJ4j;jL3qzviF8*7cYkQZ`Wl>egs@^t)9e)wX5}eP_2@ZbcxMeoL^-{x(|h2 zS~l>D_}c%Px;)IH=;DBRohHTE&bcW3+2I-nzovDoNHgTK)dS5)c!k80cT+=0l4(J2IYVe#q$gs@6luvB;Eao*2+tk2dNUO}ZJfi;87Gzaz9_w>ym z1hN|3@nVZ;;s#YxqY(>I-OeP>g$Jyf+v2y%r(V~8J^)$&s}>^E@{_LdlIku_p+5(z z4sBm?>&YHmQ(k^_g3`Zesix>m&0g5VaC8$`hrK108aCiZKg3l%PF3?3OTJ2J9#2Gk zoC``ZzEcayYra}6y{b~bQ*(LM?h5T@RcgLUku2E`1h}K;5MPr!`TGKD_Ids+=9JNh z^VkDni9e;GdWd=ZRwk}HgLQrr&P&F>K! zP!TbVXcy%lunNQ&a5K`6fQ8M$O#&%E9PNs&ryP57ieM>5bxf`42J&od@ zLZ-Sb4=7BM2kg^icvF1fJ%7#=?a$ZqAvg^_RPA2Wv{%*%}G2&!@*b%)0`LSBI(3Kb%=4U{g6x7XZ zC+vSaMKIA1{We5s-`P&&xX25gpJc~|2!h=i?g^KEP(rRsaE~QEG!!fwV!aQht1?|j zFnhC|gxiT1Ge7lRFA?s9!LHk0ZA-9sCuCR<>`(}{1snwXi|v*?{|Go6f=vq#!DfJ9 z8wHXbZ{iD*|F5HuNN7W%?yIoJeWlF0fo4IB?ds@-C<%t5)-h4@Mp64$Ll1?TlY;i; zu2j%he@472x2 zOnuS>lC`$f25bYOv99!Za$>WbnoM712!NMos+*d19egcRor_Iz$;PGXz|*0K1!gHv z#fj?`G6J^V14}o90Z;urG=Mnz(#)x`0J)p&CAHrZwJofW@Avg=%&~MP|GrQ7k>t^Z zy061?BfC<;3SWg>L&B!QvYxPkEhJAxe;e`_ck@W|$Vyr_zRlxID#xa_qU2>ehPrm3 z4u33kJtwa!@ulC+odTPj4)~|4ewasCNXueI>;6)hS;Owr6+@}|VliqlZ1XK+rsCg$ zbLg<_vX=kg_TO^ePvi+)TN`={sE>LIj=<$vw|12Jj4^ULepk2T))?R}gM5lwZcBWEu z9<@WKW4`b7y|P-7Uv^PPCuX}pz46nMm-yK~fn@5Jzu#EN^CP>+uE8MQ#iXAxF|0r} zq&6o`VZ&JcG$pq+*$7eER01)kZsuFZU({|J6ruWuQwiHho2kwcdovsjb1H5H{Zeao z`hqA9zBe;oiIK6Z8Dx)^n=Iag0%QmMx2|`Xck~)ik9qS!gF+=#-@SO<@=n6kNOQAP zshRl<7zQ})Q@x$8SBk9lo!!bvQ_0%Y<7~Rz%BYuK&s7zwpVpgmZo3Oy{8%8aSG76=0|3GyaEz!Xd%dOaZgVjc=xs_^KT#2dn81Ew65o?!10eUPkIo?}lGI~{G zkc(jKvg*h<1HFcx;x`&GR1q?I?cMZ|hs*qWkkshnSAMd9VkTLiYI?($Vi{Een6NEO zq~Xplrob}eSwpn}LZ7f~pfQq_<&s#WXVI#+*QE}qBjtCz(slx4$R1#{!Sa%AMDoEi zR?rRv_zLi-ypt;)d?Ks$oBPp3eiB};U-97_&FDbIy`L~xGItZ&b>gB@-Dn`Vf54!W zpIyCFmuXzJf8w4j?EAa$?7jNlA-#h+*-LrV>K(3g>v+iBi?n*YKPG00YVn1&dM-at z!thXyn?r6Hq4h3ZPbTmD?(aXpikrI4hPt>S3(FEPjO#I=$?2(+ThJ?;i8tf6ys2XI zUk#KGUc$v}-AtEe_ikmXf8&sMgEzi*_o^Bo0>D^@36eqv2TrQo`VoScXEH%-8XrgN z)A}H}`_##|@fEU^Q()FwTD{-c0y)12hef+)fRfpITN>}$n^jFr=lDz)h#Qnq^KL37 zwYYv7;J#;#4&JSC_Z_uRe_K;zu99e4c#`p+R&TEImn!6blSZZJZ*>z`I}_2&gC#z= z-L8l_gT~t7p=0#r6ISNISS%;|@lJy1Wa0y8AwDKS^y_aK>fP=+a*EU54|?+rb_4{& zEqVLt(;Vl3d*}O|u=uWANHQ^A$q}o9JBE5~xBSWWA6zGcxBS;{b6bvX1+*^?W}}4U zFw-d@DT*a0Im|wjx;v~pj6Zo{x%63~WVpB!^J4nkYMA+!YM934@_^EZZ-5Y+EHgj| zXNLFmw^T^>f(w!@p-lJ2(t~7LfsnMJ!2%QD_})+sGh?cltcfPg+tP8fGK>=hNl*Sw z^VV*LWF!amz5#}<%}+(%5alp8A-R>h;WS8AV|G5+6S|lz6Q&w3K$2x`n99BB?12@Z zPgl;R{h01TQ@`9`VJuqeh3Zy7%ZC{Ow2BB{^>CDO(_wCvMJcy}ce1g{;IKFrZPBiD z?a8uvaxj>;;ox|ne9bAiK$hzYHQQ>nEFovwgIXyLG>RW-L0G%+$^)uPD>N z8|OoWg&V`$kh><8I1HTsM`BH5va@rD`ozNXQYvAZRms$xyOiaRR-G!BE$g4K)0{qm zj>B)w&0dqPs~)|3O_$+Mwp*lQ3teiTrC9FKw>X8i&Y|WU+=HL2+(Vxn+AR5W%hE+iD+JweX3vw4 z`3T-@6lEg8k_z_pmlf-$E$2q-&eum~ubf$0t-%+Ui#Mba=#EuO-nEPurVOAz3~2*) z>-VzKTg>*(%Lsh=RSJSTo#a{#G#PPq-PD2}fH*X>ZZYSR^*DkKu=mef>{;T>*tl$m zDVvK)58ZYpUA__E9z%K$dy|dMdeue1!0)Z4uS=S;*^9M{;KZ24~85ik~N3@&N<8mt>L)}K#6ru=iDsZm~_q> zvCz5J_jiZY5eMkExE$DLNQ(JR$sf0a<)(f6Eg=Wtw)cRBlWCFP>+;TJ`zhri2-l}c zO8{5|{AsM@k0G$NYr&v+?iQ|l?OWlW-y&e)GjQwobT0lIu*R5uIc9++qPKkC4_O?9Ue9YTyWSq(ULXe!JwGnmyCDY$ z-@<|d&I4`=a%y%Ck5;{=vrC6??mhF#y5;BhetQe3!~(ybUW)@DVithqfH;6`K~N?3 zrI+^9X@WnibSO*q>nR((X+D|Kyvc0;e|q6vd@8ZDr))R8{}uT)ivL53^8K%X076X3 znbJy!3V!0;w_tWa#HsH$U~zyT+Ko8ig752Tt%d;v5YzQP$C7;ig@cpzUof<%*CSKn zfNBuLv`J}xZxs@Z+zZ6)Dd&H26M_c+3jtzs#{tnb14A&z{u34X<&!PB1>}>F|9`e7 zvk)N2u!Pe8zY#-5(4xliU(7R*@E~X>|2GFp&p7vwmJkD@FTDTL{-3-5cY6OD=6?{rvk-_z2>ySe z`dt41dj6Ze|5JbTn%~Q*QOS$#{&KvR^QxVJa$Y;P2@H6zSo*ko|KB;#-hhV}mae#% zn)|G?x4E}pMsY>`FO>{_Clql-Vg^4OUt!qd#td}34{lDe;8wh@8y|@3X>j)wG$|sT z(%_JSD>pF6s@# z8z=U}X$-6G?G;IhX?B(lq6~lSrId@!W@%du_8cyM15_hwv%ygDqZd5BZ9_q;)EgT= zJ4g*tQ;#qxp|N?*qWvA*(8R?Tac(jh zGQUz%MF!5%@OIvX4@Y1u7!p!YnIPtwr=VrO%>lxHS8ivg>#FwJVB_jInP)8$d!DGo z-a@Bq>`&&Q69nLer7klF`FB-&^5^%`nhJ~&p)d8Z<&E3pRi8wQH zikrWzCRZGC)4Z;uv8pc73w5viR0GwTC5bo1@w6K3I8v>C3seP(beNt}_L$~NhL8M% z&U^c`1cxP`@Tr*9D(pwNOhJggyhC75%*h0{AbTgMt7IZBhG@Jz2KLWy(j`_Oei@@d zkJZ4M!Z|u$9k^V1AhpP+IRbgQ!Mg>VD>faJKH0Blv-dwGPD=yv&Um24mYmQR6XKFa z`aUJG>zMVab~Rr&kHNUQvSR3{Q8Li=+aXemnzErYFJbh>#SOfAPLZC9FA}HYi3K?^ z{QFK`UnbUsMSJ$=XVkB}j8c?LURV9)Q~E`q;cOA)Yb@1mq3 zXUM1-3$<1yRds>|ZAcKO;O7}*&&?(7%dIV->r+%KYf`5DWiK2R^G@NQgK%4|g}Ous zar4Q7D5HUEez3hrY=!bEGOf@Av>B0MDR*+;)e7Q#hs z9TFOfhUKqok_Nq$9)&i&nAA7&@F)P&L+J+>WrN1nLlWK-?n=Qawyc6^yh%w9GZI{j ztd8kPgC!UjE&L)(;0g_!O{*-Nt3(mhgBggC4;7*sPK8rR=|+J;l|Z#EPMGl%KTr$C z9Bu_^7~54O)%nWHfk+b}1<8>*$==4VssVF>Sif4gG29Z$g({=8{vRZ=_g=V1Pg&LF6gS|q*fJ^FiTTT>2QWw z@XRd*WKH;*V{g&g&+sXCy=!O9rr>>&wiI#lNr=Vo6dw#RsQ@>r zKE1P-hcFM#vS0;%9-=@p-;ZGZqk;irBsqfoD~%5;G)AHh zDVRB;1AE4Y@hCZhNzf+H5;(Xx+$eZB5~y6w2{U)n0u2T&5THNjepc^mwzwvMn~5^P zlH_ofpqQXT%==!j-+^m1WSy~O-x}QO6$s~UB_RQAg)EsoovzT0)Z}FbdEBYEVGby| zVQDOs;Q15m4EY_95G+2BmubRK;lE&_Ylt$Fz6bdVlLTiz7?bJZbxgI zw5_!VW^TA+s?UM2PPiM&3Y{#;WjkgTllSvBm`{Fs4A0lEEH-WZrbg`Wk*c=pS4vQg z`q?061pltkU}sOzL9t1 zyDtZ|5z)4rIh}m39RUY^as0L=LjU*e_VgLn60Npz$3LOn1)nh!$+Rvg(AY#fZ<1?z zuKX}1sfwgFyYV;{vnSAqY?QbP1_x&U7@2&7A)aWdT`ZR~-`1Y9C*W|dEHcsJ5Ur&5 zZU*djYxkM}o@31Kw7rel`-f(!Gh`Y4E2YkR(J0P3`79*k8ZEfRhF5XM3EA(bpP*C< ze6IdM8)VT|pELnvWC`wM_+3kKzRQ|HHCW8_7p=l3!zQgVJk-Yo4dbBpmD-@Z*&^F^yEg@sd6rL|#gmDr+_#AFiew=$dL5^sq4=0Ml;0`=R1y_82 z50zDz=26cI@+=6#|AM*DLqV#%f_Bj>ODrB8;ev&zJ_Xxyh(bX@mx>0x;i`!B4ilL$ zO{hHpx4c_NOM$#31HIGw7sc%d4gMF2B0WdP@_PvB??i|jS3Z&}yQ~okRPH1q2}W6` z&{D@nJjngTN5{Lco`=CS8(dggj)|dY_A}0)oHztVHIGsq*`f4`KzlTNuxC|j7!OZQ zl()3)ldR&wpHkQ}Zc2KF$BWww_{BUF@LpXPCWW$OZOAM54+eUluThmNoET+^_H%8yzPPe7tC2;D; zD1QzuER~0(wcHNk3>d`2U{nwI)RDukt_WthYV_qXTk}tS=n#|7#74@x$lYw4ybe%; zczBXY#NEpzDN&>)&{b$ijt77|YaPy668jM-9Qh>bBiJ-0v$4w}ja2ctc&Yz-%ZO;| zuP!yG9TkxfRBzIpJNL6bNy=}4|Zd#~ShKil~m0YpPiq4&*dkM6vlcUd>)AzE`pv^uK ztl?J`Y4WYRy@VK4<~sZBOZ{YsN;d}y8ZCck{Tb9^T#I(>(Y!Uqs@5-WnTmJDt@-vi z?R2sF85@S{84Ve_7J87CS;u(A4)KpWEI?CLQ7O`pFUgctzk4lTJVZm&z5W7h$VXy6 z(KW{^4-bd9Z4R2=n&(E6o=OinADAH9YF%@kC9DtOb2J^fd3->`IQIxg;2-> zMotn|_;}Qk9VzB>G};b#feuxV#dp&Xtmxv{+<+PFO%I9|R-*kEjIRq({##Dpa7f$j zj85Qe=+kYk)uro?mQ}nfKsHOLUMZMc>S6m(c`Ks_z!-r8s& zXol6pu5R`yZiQ*F7nb zogmf(6uln*{)c~~e_n|*j|w;U9j2N%dj3j-l*kD_4){Nqv&U5J-((1txTJ~ zvBj8NH#S4Q9$P@n0##pQCM$)xjZ`_o_-A&#$WwdW;isbh@kI=`Ww`-kxQP^uZ_L`$ zw#b}%{HE`?f3$-p7BMC=nDi;_o2U|*@0GIy>%%Z&Np(G+i-IQ)fl%&T?pU4=G7Jwi zv+*17JM|*YgHs3wY(Ke5v#=Ws=o60R45Wjm7g2pHYJN#0i|Jj~6(`xi6T{6gYw4J+ zXuqg(uGVUde3sPX|E?k!(=E-QxmtxWAzylMnz!!Ix^*hc4p>55bl#iQME)}j^dT;zG{!QV0hDoAIQp0-Uj`Wm)37@{owYJ^qO4%A_AbtbuUeJyRem<&M z9~Iij$f8p#qfeKS$b`lcqzyLdGdi(6?a`eRj)+w2-`1ZXT0Xd0qM%qBLr8WEw9`^# zeW+y@dV`VkoFmk}&1mVP+Mz?#z>^v&L*qS-I89MQ#OGUz0pNl&MViK*DF+Q&sc>y4 z_|dn+i;M0f7eu!gO|1?1Pz$+4?c~B9)oXDXXe;fixLPV`?`H$; za!4AUhwbeWV z-$;(Y=`}H_e=$W&cW7M--NJXE`uE%`KQ7;eOEo0 zLm&~Tn7iO%UoI$8-*l+L<5%dxVp!DeWJMnJCgo9bcBlx_ z1zVb8wP#Ag$sN{|D0^i~WvL8JdnRWUP+~&rNJ|X#R|_YHC*p~9mDA5AO5$Xs8!zHh zn0qaiicWn=lyFi?dBd)9-R*i6YmzsSCa&yymMwf9F8XMM$ccglBV6(wl^SosL9YI2 zM2yPG4_qa=0c|58+aVsKg8sk(E@mkz;vAATTW6A1 zodeTB!6FfomnAwZ9A-Sp$_!ry;jyiQ^>Gmp0av=PQzp5j$7-fzk9o?1S4y=3aj6)n ze@T@HeqxYH^|ZM9L-@f!#9;~AFS&>hLfc;Ahmz0Xcfb9(U>VXUzsVxIh%H6-R% zNdfL9&cS5pXG$&KO@q|7pCCk|q8*$+9l@u^l6{%D)VgnZS+g7v7SyG$B?$_}S9OqX zSc1M7!h*^S{KtYWLI!D7*CTYW5Y&+r9toOhNX$G%-BYz^y^3p5_s~E9sA8Kl@3Mp4SRlAheLH9_nMsnE{1^Gh`sBrov(NnY}Hp z66lYG{B{%s20^d@$68j;{~h$bJmBMpcPr#e7T=oOm3`C!`7F=+hk7HWwU7TMI;AwdsBGS|kq(P%&C7~w4aA^y z>2C>Pt)eWT{vr`RU*1d|B7w9>w8|%H7~=UY^aCv(TeK;gk(`oKbbb+j2dNhu#$$2^ za#VtJV`jvn@x*@Jcd^7=aDg?4P3lwSKmJ$>8Y@x0$-b3JK9I7D_MjxLJv@yD$;`$v zU8^wO2eD&iqke!OMkMlM8=QLVo|)*VdqkJA(*0*$Jq}s@3D_S@_9s_m<``(CFS;)1 z2&!xg=OOl2?9zZ5Gk4TeuaTd}aFxj|sQH|fZtbcte462oA*YKmrsPIqh~(bfsvB%x z#2x(F4(IMt*9tusS6yX={1-s?0aC6{aN7i#s!%45pI{sZtWXtVm}l~2Hj5;`8@P?& zPWPS=5R?fT?Gbe-UAHWQ5=EJzU%n^E(fI9-aN@kEC)->+jC@mlH5_zP%B7i!+0GRFyvWMe;+9>B&_g#lj2z z5^k6CN~6vzyVTy;!NtmKK6Jj2;=lisoA_z>w|?)bPet#3m2ay()qC5)1@gDIWZJ_79sf!ql(qwwjmZ$*QPGI z2_MGg^zg>2OMRDJ1|+thE@wWSXBnSksWm@`u zJ=KfED2U%VkxLEJSXOefWDEQ4G@Lc_=lZ$jK+7U5C^9n@zk0pcq1pxfP<}RW?!?3d z$>0<2=E2D%F2q;#_myr%xYjQw>V>{7##CkBvtMw#V_k74Q0+UAWKvW%npC{wnHZk^ zKa9NvRGiPUFA4++5;Q;v1Pzd&3GM?VcyMhk?xElFuSge(otPpXf7PSqEw@aMNWu9TB`Ksrfn=p61 zIN)QOswm^Ie~uoaH1zT)nUm>2g#X#?p9}_X&$;#Ee9q4|ZJ~m0!Mlv5H4@~Q4hJ&o^7urr;Lp@@z`X+vu+~ znPUUSe<>=CI4ChI#Z;7$RvCRM|9E1;r(OT%M`|%?1TU|4{lQ^=aSHR1w^`Y1yV^bt zh1rYf;*_800- zW+-MyTA?6s63a+$l|H3&ynNfNRi8FlpPwT0c`8GZ;+aVz+lan{4cjYW!9o>IT5i81Psd$?Qt@ zN(0JYP01&ZD~smmVC01-;JK0fU^@tz)Rl4$pU3lK@zC|*4yR4vPT4HDoFg;gQ=!0! z8$6VWo)TifefhG)Wy4kZF?aU%yGK|HQN`z$z?huiQ!q1{BWx9FYJ^=tUHCmMq2f!p zi13f4qu0)n)yFo!>X_!@Yz2LIL9g2Xnt5XgkZqI|apJ+btF4Nt3pvGJ={lAj?byT` zvij;J9+L$|`8d3k3^=6kSJK}7>^|%56XcNa)TpEAm@bP+nlckNbGuHM(Z^N%{Bp=C z>|((4tnG7`->vmY=g3@yyp${C8?zx*WPAn<^_mqrCP$#DwwEP2a3h&8~eXZzTKC45Ti5-gmH~ zM0MGAkKO2+ZeLM)&_O#;>P@v)3$)c3OBuRVxw92niM&-edQzta_WQw?Yf}lT%2_yW zJ;I?F^fFu*g`0^M#M@7QDxc4qY)qDD5dPbng(4W z*1Ut@cVH+HmeVRbqi-v;^Nx0wb@&03DvusaW*HC~M{T5~%Qz~zyU8e|=A&5!->+<$3vlUc5+jbKvI0pCjv4w-&RxCFY_ng z{^do-vwvK8c!x4ghmCSQtS*}#+?OJP(ipNwft*254;FLi!B5&z($?OgaV=IBp>e3% z90#I2!{q^b{X-;@P_Tt4BSN14@PwI-|Kk|SA9|Dr|8L`DL;h(an*U5t&6xMxo8{>PQ%SxhQ`$UpV~qW=)*vAcg-%X;V^qH8dTNp-&bj|+6W z|I{1>jcRC^1Im@Cb(UeDp$SYXB=V^xY~Nn1=#m@P7RCygXMvn^lgq)7=xYCVn~$<@ zs-F!e8XANY4UPFf-R7fg?r#5|?(>P)F?L>-e;#;VbD>luU_TiL81ypHc`iM>7C>*- zbf{@*7Ttm0>Xxm8x|^lB=a2ARkz($!@co~h-=AbRNkW`ar#%l`A;|oBIie zY^T*FKhH_Ps>_?Gk==o)*ikQ|gF&~HjOE*KRvc+Uv8FUc`qLV*b3ulha3QLR7#LkA z7sz4N)6YjS_OHFyaNgY#ga2c*iFlwAk>5U>sX}qzspQBoH6~j$wnAx2$g~}`)0`}` zVtWJv0X>|QX4EV)`XNJ+DSs(3uDu{vJbmFLi62n40Ci$@^X+K$)XSm zcBM|&oT;OMrM>jbX~=`$u%a~x>9KT>Wp)_f@Oa3F?kO=on0H=-^!gDEbQ2&y{zfn2 zNX!i|S`}YioAYkVQT{PD4E|cUbQ=I!fGz)q*X!wgDIED2gQH8xq>dDC2H4sD9f7Q5 z>mG+@;74B%IZ@)-UAOX6lDKWb998B-=GZSDBcYU#(GgQ|osv2G|sv2PHog1FK=1c1DwV!^xcxH^ZLDZ%oB@zc# z(NKXTc}kG#gUX$kUMJ{ze861$Lky$nKD-RpY(y)$c$s~TF2qdiGAgvG#fZKC10y?z z*rnW{yYA0hbg9(fRzf+)7k&)$$w{6thj2Q4(fp(5OVL6JRUhZ-1@;~7p!L)NSql$ z)b)YIv0D4s&%IAGPlMI{Oimq<=ajjkZ{nCQ8fh-6QCfR4v6;-{_5al!lFNj&_< zp8D{F24~Ga^%)EeZijOme6lCLSbT?(3zePx4v_6OB__V0=fyx!gaos0>rX<;LxSZh zNG|S4@ROn%)h|jY$YJ_l_2%R|2{iVc31DyDkaoXi3D$IZ zHm;A6J^f5*?%Af6HTJ$6Ms_*23mai~88*SX0zWBS27Sr&9QTy6yNi`x=^7WeEtBDa zA$X<_y+jgSC4^sy6~jf5W;4yEyX+lmWgb(AoOV;IG#KfpY6H?-bM}G0J=)5r)Vq8W zWO`^QLIkZG zanMGlEs7xe_i3z&Pe)oA9Sv;`^~ds`rZF{hcMq%oG>;i4DLMDPBMv`@lly+<%5h& zp{eDkW#xpd^#WIF@iI)T_1!y__5KRI4`t=T^LL5E9zF4mg1t8i2EIM*84i?%ElFKb zjedVSh`-oq@Fk^D^l~xRN}2@v1grAB^mtn(BW0GBOTX}Ihr+;`nKR9*U2|h0TTRk0 z><4q>qGd;nX@2AqwtCsc7!mNnlQw(T?{|l{L9MOvAX0^<&ZohDslWD{vo})b(r&pj z(}esI>woL43R@Xzax}DZpZ|-_(zJE3*ZfbN6=67*VAMjg24(mAOz$PzyPI{xqV{_1 zDdSM+DgFHD3tNLh%;`_>Xj$dEBu>u8{AlVe9beaR#SUnyrWofTAFd7shuXn?x8PIw z8qxhZ9nu}{((!nFdVQQ#v2eb={tD@yp4(P(IyM1-b_79TTRw=Zfm`t9sPnq`(kfYA zkjGX9XW%ZJPVj!N`IHU>Y(?&0u7ORRs@IJ--~n)#>y^_r_ynEvxl<5gp}hrwJbpZT z*kX%1xq9Cjlwy3TYLT$3p!8dOWC$kFIU)Fa7d+Q@xe>Jd9pM7Me1IVkTYGoaV;9GB z-b5{+n;_ug2x^}hjVZ$G{_OS6Tm_hWs6DF!umQk3I))N05Va&CJN*KY$EV;^P$KK{ z7@dhY^zEMD`+HuQP+p_$9L`=%A&Bo>L1Q^!<~_e%t*#`WG3C3zHdou@hZ?HRdvu z{#w-~a^kcUWAzljw9s>ybS|?3aCfQAeykNZ!0suOxFML2da}YC=;78P1n4`fCo%@% zW3a{O^^E(vcE|MJE(iF8NpA9son%}8Tp;ZsT`=7CQ(6r+FZ*qD6-sQ7kk6%;T(Pyi zll_K^Dhwwu$=G+2UcUe*C-u~?mc8EVby5%-``sHoBOA3?_NND7VdSCmycR8VbT1FH zr!h&0Q)~x=Uq2E$mAemql`X0!k+js&S&h#C)0^kM|C-f)`_P<(CyheFm|7Q6krd zbo%7(Bt2YGO6$cc%&*k1rD?0Ni(ittxPD{MqngwBE8C>StE2RJpUUm|XDqAdh4`4$ zIQ5LrwzrGipJ(D8sQ2U57Eoawv4+-Ca#la(d`S23k5R99q>9Pos5cn`PmwZ)~p1il{*L{ zUS}-A%{9*!Hsn$9<}`>=6bYLB9*hXF;`G(xOL&~ww$#O~C^pyCc{ap5Nm_@xLFnO? zPRF&_q>MZd&B!n*?~jApk8ffYNgLEf1S>NJ6?_-o zfeL*osRI$gf2cp&v&!>H1wYLUCTMrXjo#++0J5|u8~{ZR#!P&2;(HuzINs8XfOQ#GmkFe#PmAxYI+XF-ut0V!r2C$^x zK>3|kcd(kjk>vadOZhoKURgjuH4bUpcq-RPwBI)nz!Dmp$zJqzZvIZx(kL6(fX=vu zQt1Smn)*5K=ouaDQ-$L&M?rz_EU! zwE@++5VK96`bl9HXJtJhS}3tqXj)}AK)Myv@_D9p+8g%3I$87~bByS*%I+67m-UAi zif7qP#&zS#k|H=dmF{}kx9Hn=p}TeiZcP|V!B5Fy*>&YtHgDrXo{~jxhV_>_$tHvj zU`bWzMB`KQS@+ii^0XaYIb*@ES04SnvL*u!@ZyED((uTBNV=f`YR4LumHxd&k;Q)M7~C zsD`qW+5~Y@u!PUECwFZjM1(qP&U`0Kj^4c@9V+1=+H3UzFOqpZ5X6{#Q$3?nwKU23 zA};&+%U|Ew<$axdTIQU4-O z9%p12M#57r*lT|)J1prs@Dfdw%06%Nc^$bm9d?jwMA7GWH+l%oN$?^##v*%Z7IY zev?#F*$Mqn_hj3q8i*lqOwFuMF$-CY15fjPmY)-Blf%B~X{JK|=~#>HSi1%J{6L@4 z6wXB|Pxm(%ladmXN%R`q{1PEZ!@G=#ZlubzPHk;$<*f#MfAUSZ%VFn^dV1n-~@*U~j!k ziQdwhNkt5!qDcaP+w-;%LDE2c+3;hWM^EGFQ1$J`;LInV_kXpLgj%sdq4XKqOwEEE z8nM*pVViax*e79ASn|=@@0{jXTyaTJgILzV8GY@0ZRo&={gy*FZA5r-PBM9Wi02Q~ zwA(X^UHWF3-gu2kXWl9(mgR)la=4T!BaA77K4hRtTDDPx1U1|)%)=>$K4b4niD9Tc zmvQxVWmkSpknv&v-G^v<8TI3-0%rD@FSVr0!W6x)xrlw@E19zCgSGzP+1c@6S9mz- z)UDw@28?4?QboE`_@w9aU+{PSaf=Yd|_fzp(BxG+hw z7TovrZTZWZj5pP0R@p2sB@EQ)`=UtjEZ>|h{yru>T%c4un1@Q{S!Cy`o~j&^9)Hva z*?7cUKIPGj#8O>-TCad1tuHUG8CMalA~jcwDLV~NpZDzjL~`(gQZ5x!179{N+l%du z%7Vg5D35ut`wKy9t;8IQ{uVNjM%b35P;U;t z@G1OAoaReNQzJ)1nx=r^EavVRbJ@S~c981uHFdbW^ei>##ZgcH!-!hRi=`ILxcgC+ z_dx#Hw}TUrUq*$5CRSxXW-}8%2bX*(fma5JzJ3?1C$70jnMA#U-qrmy=CT5N(H2ns zn{*sxqVa0P%?J@(o4DWTsHx;~_`Y>Ty^nT#`tzUp&r8%cB3$Bw1Dx}=9p?mFcd zVPTm>9$vyarsSiz4@ZRaR~8*}Tmyethi04jYDAB*hT;RuoIZaAJ?36|FH7JC%>~oD z=;RE#868JJY{akH49;JdEFhF%;)@fT=eIzJ|7oI_)9~Rl;Z6qYNw?-nr8%C&g(PtC zTiul>kYpU>OJK>aWoLzsu{of;MRzf4!8Q0~#vaPxAL&{jwD|#nam+Jrpb`SAci%2_ z{Tx_!W=68FEn3%&4x$q({bGSSg6-_dUbpkPf5PTL1~X83qcFQ3BsW?JMoUDYNGPl@ zR8@$ug=g=zp3qSsQs_6H1u2L)C4pYYvn~n8rP8LFPxu)RGElWLpUV9iitfXOc?^G# z@5n%XLbs;K)9Azmz)hzeF@@0czH=@AcMG0vqI#RZeuOax#j_?J`}77_0^++tFZ{Fu zdqNZK@F}izU$K5qd}%FF&Z<|r66d4w3|eUb%#b_H1iy$&1y=B(8N);bGepl&9(b-D{o2n-LMnbke*OnzH4|%XBf1IH z2#bW{R;gC0PUTi~!X3HbzS4@4OYvNKI@JA+<8mlp&SPP^{C9W(TBbEHU|`pbac4?m zKIPmDyNiklFa>@rk_u<8o|tOoT2h_9C0I&SH$5aYf{171up;ty`k>nJ9d0z^Qhn?7 z^W00+vz(UBzQ#iWrPhPKWP?j|4RxlaPjK@bc4|5#&JXrMrjEUNg$lV=L&}}o1A0!yPWsPfx=W6mF#hh#BRnsiE@z8lXpTusQD-~vd zvW}NjTsqpXA+*?#qS}p`-Zru7%X9O62j{l3X7CIbMBRG}Z`CZ@1zVzsQZI8zDzRVg zJQkD*aCi>OtG$R8@Y9|K3OYX?=OX&xuL44y}smUa&VHFPj*Rf{ za8@lBxN_f(_CMQ;uHEMC7`n!M-A2TCb^%1$Y2B_x0EK$J|uFP93bHxvY@R z1f&=t#OcD7_}(k1Mr90e6Adnukdi1Q?D6z90t1f7)*eQ^ibbuMosw{H_dPpfj<19c zTrZ>oMt#o10u+6Y0+b)xJrUF5#xI+)b7V(eD{B-&yBIa}u+oekt$={Illi<@YW@7kOD4mE-F& zCrk26);6vOfw!?aM#~%#7D>IG!%FO=%sp*g{4?KgVB-)gfpeTKEZD zxlmOrb8_DG^dz&-wV0p1%)o)7Ba-I}gH^cy??!JthJ;s@D0%1c5n(pPJ7Z1Sg+MC56$=>HGt2*fl~5@v|GwI z$>#6X=~=xum<5CUt?V{hzcJ0rlk(W`y|{#7Bi1j$%S6r zueV8QZCAoGPXwfM1h${VHDt@uM9b1D9N$M{-(NR!&90<@%(Ax`Miq`#H!)zP!I{qt?C{S*EoCElqwl$&2-5EvM1F651;~R+w&A9}*}$n^P`DeOl}ujHmYX zw-fInVXFEdO+IjL(Bt~{2k>XpM_|~HlWlEd~?1aJ>dWAjRzdKMFX6&}Rnw`%i-p!u^uEMXSGa8EV~*%bobF zRJQOQg_xtA0!f~%6_9>xAv`2w$DY#HqiQ*x!Ny|mj`2EMzaB_D_ElUh0t>$}o~`$hlQ4^JV7%{9mxIilMwL~EsY^K)zxOed zV{-E?=ljZ_F&>h|<-W%Sj+yPyT42-yHS8`N{=dEztU<;2An+6T^cw>W&5QsIjrRYz zoX%zG=I~E=kFTik9+v;#@E)G?QmU)(h_N>PfimUgC1=#xc?lg zrJhYcU{oi@=?`*Fi3dL@WG|{FuwK*J3`C@FfA9@}+`>9Kme!hgua}(G9F%kq**elz z_VPK8hnivYCrV%?W%gYimNZ$aHDfV|tMBD+#6yP>XX5DI{N`7sYWZ#8irC57-O$>m48XGNX8J*d5Lk1gSat)mZFfG8q6VEg(dPbu zdbu{Q@U09?{Jrf8G$8P&U|l1{*9?GnAv9+Fs@RHOQ8pa{sP1Hx;jC`WrKcBfJ@QsB zj5fE8U0-UsmK%AuwDP3SYy-KKW!5IPW7v2NAnVQTXMrLu|t*eIU?86FG`_<0#xP;kYGM{f0+Xm^a%{2vBJTO}3*M0kaok(eTXZ zdDLT5zYF193=#j#%B49z8$`7z)FL;Gzmz zk~aR4C#*p!5q`%#E0HLC_N|-Zq?`7S8Tvj{f06rc>9mT-%MZbs>`*U}f&v?oNa0@$ z#&zf+M4j@XaOuj6fNRh>!D7s7gFo!02^xg`ZveaTre%02w5UIr_qcl{FboLR75)z8 zFn5wEJxCH5f-3ls0rDrT?7{wI292TO*i8Dq2|VFl`ga>Ajd_J(YY&OBIJ`GKlQ<+ca`*R1K5`hKtQ)KRCI=?H6%q?h0b#1g z7gz>*Desd7hY^p=?ebpakVi{T&@I6TORTm`S4V*NBjUnG$V7YtAPxk!`6I56d=`<{ zh`)2Ei0!?{%ZvT>yrY?$qutm{edwN#Ui!Z7L{z7`Y~Gtdi{9eqn)D#YKO1SlDlKwT znmmMit1sfvE6Dx!VfV-f3P)TXj`hL8@JwgH1=B8LaoVo4uxBDPur-CrSYg*5PS%kq z4^qOd@r{U;q+atNG1&b%`6J|L>tgTl(8nM7ZRKJJu@8oyLqMj-$KLm*MCV3RA}CxE7gXlSiHYClKx8FVeGPx}|53%arO(CF{i z8U&PBJ@d9+2s$~-O(4>pXs1Jg0nTY@fdNg+HDRu;YsSbXLmUUxJ?U9N0e;Z)H4zKI znbY;knt*(}fP9Tf2khZ`D6v?Xj%$2j(1tPBxC6d>WeVz83w$`dw#qQh&B+ZEr5Pe5 zA8WXFuUbEuE7RHCScYFO*CqHu4?7C#ALe3B!P_6=6yaX=ugNrJj>mn9zc%yDcILjm!1RNf}Hyjn#1M~NN{SK7@ z?(#LB<)^cehv+3oGa9#SLhXmvgonzd6Q30wVCYdd^BEu=xCk*yuv~)bG2y+)c%E>P zcXfh0;$v}?F~GH4B4dheqEAD%_FsCP)f>!@!(H5)TAi9rrd*eodBWP~#*QV*A-CRp ze1X)0siOpEk6&kQ?Li%v0=V$uvc~~~>8t_Ud^({bqGe{k>c+cI{{mh1T=VVpY55z} z%>b_#lJTqY4YF35lWUoD&!m}|pqU=B6c-m%r~Z{ER5URos@0iT3F5V$W8KXz+#ki7 z&;9=@RAhHP=A}Q2#~H zUXsL--tByZqXe4DoaoiHzVoR7B3SdrS205Jn$7w1`}gpEhR(U$c6LVxq)GIL$L0i{ zUS|ZRYkAk-ib_G?zub~rxm|vVa;m~)Ki^=XY|M%OUriiiLY+ftcYqz(%b>;|J-+f= zCg~%EuV4Rqi=(o%R#R=hUl@;{c>`Lh9f?l&Lk>f^!49Ngg+LxA_~p62UQ;6_m&g#u z7p+^A^b;j;j{ZO~uit)FHPVaG}jM|V}Y(Vq? zXsE{<_F}ON40g4XB0FzcKe5bDRytUuw{(a1M2_zZcIi!Uer`06zRt2S!hl;hA{d%} z3F33c*``rdslL4iVzK+ zH;%BYQW1zw2h0dWX&Dl0Z*M%G`mr*0XxH@K;)vd$q3*o^_11ghDq+hHxeTDX+?Hok zKa|(8e<(k7=weuI*5>WZ%&HHQakg^J>leL+utTE6_`Pd$c@uE?_77tx1h<&s{J0zV~Xgp+>6?&G?2 zp=Pfc+K8PTklL5uYH!$15u#K1h$U2Ska|0MLHLPA{~23Fs&2yzj-uUt1?s4qA2Y%~gJ9st6R8z6gM!N?0C{7Igh ztZeCM6Lz<3;s-2rEQ`2X@(V(L_RkY+CUt51#^%NYHY*=ZWN2?uQ_HyMENwSK=WQU3 zPY`Nwu{8R!50bgat2V72`lAybYCBx3{lV!j^DCYTc#^H1N2V6L$BUYrxE&fz(4ua9 z3pmWgF;wQV1e`27pAa6FHH$*XP|_=K(tBOh04|3zpAn&8t(?$;@P)ML0x%IYEZBmpX=WZ|c?X|2Q+)HMuBwr$ytD#Bf;HZMDaV zp)wSO2IK4nhA2$u=1+X0$EXiTc?8cpMU+mhV&5mU{NY}XclePgg!N|3IBP!QCW9Tl zy8Q?-`_MP_HtdeWe^6s|k@MbiiSA=^#MgKC5h&3%EHGnyM5)EpsJ3P`fx4v>e_fe2 zu?$5y$MF2B})u0#67)!HSEJ4-GOB2nAFM?s0{-ahOZPqUN ze!q&=a(muAoH4fJn<3+O%Kdq^@Nx>mFW*pbPD z-LLC^yjn=i7BD`3uc|+mFcF)~lsVa!@+z-_sf0_z`AA*c@*bVIL^Q!K`nj9t5?+qb z8vop9HlS>z&@^O3Q>g#&j68dvQN?@n)*GV0xP8M}a2K1W&6uznzGM4ld)QHhwgtt= z@A`6W4_6s9Y#S@lLSAuUJjdY-z4E?gBcSDMkAqU!AyN!TV3dz z!`*JJ6KF!>9#u4%1mFo8DjK5u_h@pF8-0O9-O1<(mpn`tJ3NvMuZjMv2lqX#K)$(& zo%3P0wC^+1KbObrEq(*HZ$4JgzPr{m?@umUbuoSFVp-q1&SU}m&NMT%)kU^B9PVwr zB_@?C#8YT;u73&h*&dDyY$d`Ls%Lz+D)@v(-}S{=1A0QG4Z-~`nw-w3NT^RR6FW~| zAfP*$Ja0>aVRJZU+QpQ_#d5%|l?WH5Ca~IqiBh9JbH_-iJa~35gD$5-5CttosipJ< z>Y~&pwj?-FYDX@nlqfZ?4k9u4dc%k6{#L|1ZKQHgy`$ zIJLyT@;Nunr`BbTDO!4czbEJP>v_eg;;)rcH-Ep%3a%zkk>axE9gUqL9uN@C$;pC~ zbi&`{)-r$r6tzl(8~pkyS7bm6$#Fw0|eZm0w7j6 zcfn7*gC6(K0kFi0YVKaj(-~95cIMS-4G=a7f*ob$Nd!a*{`_2I9jNk@xMi2mmE}VBExAG9MwfhY?3hibBd6Vhfg}kWA z+fDm`+5|JUFP!!O`95*w+wKMx3mQhc!IcmKEwHTyIsgo{t%dKAXaff6s{uZ24WV|Q znAkix=fUBL8*p97wW-`~4G6kG1VS9&2Wc<@pmRj1c#2VU0O-oa5*_dXKj5OB4sn}B z2ZA030q;=x6>mV`{RY5htp>T}41$5bgCrVY$AUwU5oZb5!vq}=wzCj~I842cg)OlL zLAR(6Z$Q8+WWd8^lq&Gy+8Y4B*;<*9fL!GvS6Go(eThMcy%JRfYRfC~3`Jr4K}cI< zVCGzuGXS!WgeYY0vm(#DL652+coy)Qxq2Ek8sgWyMfATiE<(?G8PlIpw-PmS$~ zlH=aO0C@il)_hnxm+18f zZwZ3Wr~+U@Kyo6u_TzybHwf>s;=w(L{M$#jC@Mx#XLBbRb>x1`>s^ z2I77!gx?Fg%FDnwjJQXlx5~YFcjZY8e1U#lMQFg6_Fljr&3TBsKGi&AJ9pnckCg@d z-kv>Bt69g31OcuAHX#}tXCQ}M;;-pzFlWJ)D(pj9RYr#Fhb}vd>kd1sFMo5%72N)n zot~F}mLNHn72n^K>}4s}2>C19J1>uyV0o|qHJ-+hN!Jy#eL~gdbz@cX%U3;J!kT>o znlhDh$(0R@Gk{;GEpKzq>=MWAg@bo}j=95!=CI#pT^By?_CtoM)- zzhZuB4DSToM4gg?>g>y!K3%er#~7n@QptK`u^(m0YP?yY=0apo>HBIn7!+)Vz#y@zz_(SMj@>(+S5=XF zGk;d>p$5Z#Q;N%{QNFUd&xN99xB<XSY2`KB1AEqSq%s44W&{HiRfsUoDnY~on!(b@2R;eQ)lZcn~*I;87qH0KJ{<| zzNAQCwZt1{2qCwtb5pn6um z*ej|MCfg5u89@D&aDvOw?d;%k;z>atFaJ&eSw|iSKPva5*x2#fyPFd_AVlK1h)@?-huhl>E8{Z0I8?Z4NsvHePAKYC$TAD5;9)yML zD3^UGA8BafaUDDG0|*nA!vxkN#Bt<4>rJXQ3|5C_@lh$ek=SJ zA-4J5P^2Ye9ZSre$<}_yxSLmyG*fmRN6ekt+!2goTU;wqa6W|XGI+}WP)=Lr4k8p! z{`9{nI*#K%{3+JIXs&-M{3@s0eUX#a1{{c@j^zJEm7m#wgM=?S@Zs3TN@I|-nVy{E zV11q8rpUw$vTD3jwciU6BzKa#54v!sLGS4BPFLfRo4&zS>EQWyrQ;oK2$HSVclp!O zYXn!VZ*>XIq96DCN!en+z;%_ty3TEj>~QgH%d(IE#BafBYw}J?n>()^Yknk0wr`$O zPypW;2>r{Q7riJd&Q_Z6Ns=9|uKP0N>F}p#5l#8`pZ|;}V8+26qN!Nm?et&dXy^N( z^j{1G@A~dP{7In6&&hlCzWv&Jy#1c>&zW7eRwt!-bHn-T%^b9bmXtc=J^CLnpJv2H z6u198`yEmuU`Z6?$=ma=OmXT(d0*BJv3tw|MBqVv#Yz?QK7VZ8eD#elYbz>LN8MSh>oqPQIRtgFB4S1tS;t}*g-(l_TM?OttZziHiCS~~1P7MZ zM@?;hx#Ln>5-vq&IDeA$j`+`>zOqLxwi10<}xCrjNJWd!$vK}C3SnycE?zB&GMDUupI0}@2ig!RSv<# zdv4%kL9yUlAJ}nqlJk{*VylUWAnr9~rTprzLGBpfg3jEgfG1Kq^7tyKPS6wCdr1~o z^TSW`UDiD#`}2jMBbJ^^@3_1!n|Leipm^EhwIfL{%O z%fFYukN-T4dNVKkjYWa$tx=#Y=Ai)K)U<5xo9KK%saw_t9cQ{bcjnZe+fRm~`^@as zuM9!f4sUn;6?C?k0lRX@%nVa_?C;wfE|ELqYIgAeW_4bRUR&QTJBd6m4fTA~OCsJ} z2Jv0%@%kPQKR0E_d*hG!d0PU)z2Bw3pVDO7)MstzSdGVB>9y~BJF_;5K%3l7XLrbs zA$3<0puY9-ST~XtlIooC+43__YSXe}qmpc8LIr2@@zxQ}kquqPtn3*oE4y7sBMp&p z-b_cD8GUyZTWW8Jvq(wy#P$1ua9aM+mMg|>=ef~_(#YvCB@V}CGNsbM&a~x^1Peta zn8FixZrLr2G7NyTU18m+6hl#IH}?Ie+pLddgQYiGTSj*qC1RHF%#7H#q$RXTm(!;9 zzbj2_FR4r+hJG_wN(||IW4HWHf}M)mG51&VX?<0%-fDk!fD62*{1{4|b1Fi8AsS!D z0(!%s;j7ieF_>5xtj?9uUP{FuVQDZjPHpY`{INcRPAD%??;HY?^&N0q`YoYDc)HrI zu=Ys)cC@vnU{_tjcjuR=Ejhekw}e2iO4T=YLQA4}^indT`;D{RYn}A4M#h(Sexp|W zTE=oRUC}&axmOLi!iuV?YnnRU9GO#Z_Ki(ao9I-hUMzQUnCkOhLqG7_BN5ZrpR;dt z;Y}I3#v}VXy3OegM}z(cW@-AgHReaG`8@lbVq<=)zRo41p#gheZ`u2}pemK@Ioi#Z z`e#Fi^1T#aY5Zm27+N(&P1{hjF~qCDayllOtqQ()-+`Mir$;3BDrDm(xqexK& zif*Wa!iDs(7nrDO_qp$?siHs`-END%HKS}*jG%xf%u)VyiwQ?>8VNTZ9Vv(}w#R6& zrBu8vW^6ClVEa+Qep_5#!4Y=!QT3&Ux{>Ew^1pML78!Kay;}ZjbKf*v+t@VO>MMAQ z3w|qVp4@NRDVGTuH@zhvn9GvND5(%CW^DVR$)=*~sVL*+S)%n^*H=--y{Tl`sQNK2 zW@augX5%*=9}T0S>1($imoh2bx>1TUuIZ&(eDei;M;WP#t)Y5osxpVMow^^jHz#~m zg|}##jh)Op+7LUCB&)j_Dt%pU}tkkuhd1Lf#VRmD&%7qRbO~Ro|*7eoD%vLCYk( zodl&S0$y23GCIiMyNoNhXK#{LNKOTQemH8uBne}}nVZO^`2$YeRg)GL6Mn9KkV~UT z5GBZ^#?|beI~mznm`m`=j)Vyyo>Y3nF4FEb{HuVb--MVNu{>raV(RF;Z=*X>Zd|mH z!ivds^@+#OKFX{>FP_E#~k_ zUVQOa3+FOWVYgIBuK4d=`tsgnLyDP=)U0gDF!mN7D_tjbLTK))N2}Ox<~q3e@3%aA zBgz!5>@BaUsfSO$J6kZY|4^GpEg>*%!2r4p`uHb~1xuAhp~ zN_%uPLnRS1@3lF|?LO`PT|Xp;8x-nB>s4-(R_vaA5Q*I{(ur#VuP3L*ROrd1hJZvV zf71|I8d%ip>ICA%G)VuNIOurQ{F%J7K#5_A;y(K0^Mdxb7Fdpj(^?)?l+Ka;lQ z9TT00n&h}|nK>4%Z0%c-F0*})O)0m-=x~DRd^{QHp?5H>SF5!|B1a6`9zq`_4urmD z&!DHVT@1%4Pccx6mVB;D9^1D8Cr+%f5KEMR?|rI=?nrRng}xAcNBF|}T?SQVw_E@F zpRanwf136x1grFF2XptDOA>~!bl--bKz}+Ny06&N$#yL zlB}rRzLZ;T^f~@+)g%FHhUg%ViHO~j z3RVd1v&Uk8!JnyThr!&a*dxM|;`Bf|Bk_^@I7y;4B@DPnA}(yYlQnHd{B7fh`|?Td zwLXj-4`=*vsEkqrngq!0qgDCEj&8 zaF1Y#8dCN`B3y1Qld;Z6q_C&vQe|G;?EC~$=F-F47$3i zDTefcLBIX3AQpwzG&TZ{L%23zZ<_CSR|iKU`)bX%qAIS`IpD>XOaAfyhqm{OYwBtD zy_IUg04mZ!L`9@YCzK!^1gTO5f)wd3^cF=Fklq9YLN8JTLJuwU4gsV$X(6EtgbsR^ z|9hY3?0uelKcDlwI4|a!#muZJi^)pnx4ze`&pMzLx+5lTYoA2sXFsuP*4nVf(wJ9H z=42_*u(WS(aR<=}943Vat6UR_EE(0tGOqS$W45OT#RUNe@thmSQ+K&JVP_&}23row zKdj)MudGqZt|ygE+_c-#SY&Q(KMJwqpnnY42%s9fe3v|=Gw)gdhw{Lq&u0F&GT z>gL2BmhW}?&aSrjvNRV2w9eoHI`B&Y)}!bxo%o+t(4hUTW#j&4@VUv+v) zrXwdHvtJb{XY&-LXOUfevrU@no&r^Jp4Er_o^>O=o(+4Qp3S|17}8$>ZilO|ooBu$ zY376jRM!dCE#}Jss&{6|E%C4)Cy*15aqXhbI9CJttAE_()7Rj|Y377rzQ_N@rb(Qd z40URn8cU(l8m!U%;DAw7+9n6|Cg>Tqe39%(YK39~vT|FIa)nM&dWEHnZ{?w8I#77= z8Sq&U0X_?oz-K`g_$Wo z@u4bitx2*bTJBc-l02=DG(#aQpota|E-Y*NKJd5V+l_<%)2C@zGn`LiD&mjfP%!PU zI=beT6&r7o=!PZW{j?Oji#}E-*D!MJI^GMiJ}!Goy5}rIaaJ|nV&U~J-eRiv+FRQV zE9f9Dkc{IMLle2Sm8!S28>lcy=c%C6V$!~k6}n1ra%6PZ*y|?34Z-U_=+V^kW+PC+ ziSMwN>+&FhZ%8@s-lWR)zFrlTsD{$`xUE*eFx@oacqH}3PyK~1G+F|%*I{W1i+(We z4@bQ!*YRu|k8&~)2@z0FZGqGB_}dBb@p0Ad>MthvBsl32Te+70$oRP4Kt#go)QULg zI)96sc3gYuX6{(&nmDI$K=9-w`{AtC%`D%%%A$tY3wvzw#_NXPL-ANm?A@HE@31?m zCxq1qtQhYwD3YC^x&m?^mAu;QZTgkTo25u8aY|&i@zbtry2W`NZw!mE(sSwW5{pR_ zoIN2E!ihO7<(^Zhkuxi6<(zl~M`T&&G_3E9>rmQ(qw8AQft%~^v;!Z`jDvT!)}={# zKT*y1#BsjHX|lL86TtXF(`2FRv-)Q~iF`v>bB@gWKr zguY7%l21oipB{>t^n>&}Yo48V^Xc!~&CK))pycMyY1*NvY5YJ1UvT}wqY%kUyZE3f z!I_Q6wZ`LBcq~I}<`7)CM`a!Rc_%3AqUCb)Nj(SwV=G(OJw;wV4Qb?$q8-iAv52rx zJhS4LTrr4&e#K$L^b$0r4g{lUu!d#gUHrlc(jBr+Vy09QU;C|&zagVMQvfFcx0m6AS}<|K9wtn7MjJ|z~vT_j{c4=sM*M+@V>EgX+^~SyC>I>8jT|To1svu1KYiuH#<}oGikx5AH^tys7464|(hk+&o_cK;15-_d_mqz+MB0DgLVVdf}c&bD5 z)w#7V^`A3z(nTnRizSErFt!oN&AM4uP(hm5?6%tH^aS{>(_}$t=O{$@q9XU%%kywh|`f z=4@?%OcyI286bZ`bmsVTWcKaK| zdkQjiIn#`BPeHW!e>G`^J(Ku|ed`&nCOZA#?P-v>vc2s)$ZvDsEhOC! z=ji@qs9!{vYtG&D<{fWcfl;e2q#ZVf^v8ICn$?$EKF4b!@}k@d6xHR9}hAE%CIq6BEtHPQO_m`=Xi&VJJ6!`WuHe8Kd? ziP?}HSq;=y7mwFzMi^f5>`%f=@wxL)-?Al-5jr&Hlf?Yr%&a9GB~hqc$8`}c6j684 z)R)fb7^WDZmgJ3bIiIR@E54?8S?`8;R1!6A^Aynt%(Y>`KJh9ZHKuI15L-#T0IG3H z7459O4=nD=xdnB*CT!g_(?SJxsgNId8r|B90#4?3d-sL=7g^<8lH=`#!{M#=Lb_ij zwWuw#6OP_L&N=7p^0GF?!~AB9WQ1V| zn@j;=Ob^c{auKwW=SUY8lpx+35eJt?m&H3f|JxP`M+K0TAr@QLX5 z%R7fj5>V^iPxrrGEWZk}qX~ z5#*>Xc>3hrQrSA#?T#Aj^ytze$sJzXp0`nX-k+aL){brQMgReBOUaEERitS0&C5N1%4W*J5^Yp=XWR3aW_UL4Iv%ddbp#l7M^ITOHxcFc(aYC0ZpaX zUacQ`3cxwz90nIgBQtbHoDI*<(*&${dowYnGUsXLTMIvMnt!x@Kwx)S?x?$KkZ!w$ z#rkS!P49Eru!4glRCsP?zdssr-m%KONmPX?T$4v}-FSayz{X?#N%#@j{gNm6i?K=% z3JFv}O2FGg`XKY-wlJdDoX+}YLI)n`<-2y zZd=dK;-VYN>%Xb;k{{(f2(&JHd#)D@)}m zQCdpJf+NGC`GH6)w8verf#f_!VIy3=h6zw9gpL$oJABK=Y4&@&>`aH1R za9mf;?KM9lY1>ggX*z4zS+?0g#}y(duMhH-+UjM)F7~nI;rrMMITG2)Z#Av&K(+GNW zbZPzAR8uliaQZG1fb@MvuYdp|LVi`;kT{ZDdz4G7eMko#Bq zVkk`?!!egKnR^`OE{N8_LIG(?cZ*0Lv?00G8<>t>Jf0qp-*~y!Cleu|4^nbF%r$KS zgg)W*z9lhZDMKTmC0;&2gG&Jxg5bOGcXhtn+y+3;Cfp7!wQ?t8gG@<$V8b|bV2MVN z#6|u!xRj}b#RVG^4wUy{f&;6w3PR|gC?=T8VQv}#(gKg&N|^}NpE*J*fh>IQXnat! z`TnYl;zYb|{H;06BjKy97r!+>W=c0SmaY$FTi<>8b~wYfsQ+k7v}SnT{cg|1$7}Jw zGkb5J2@aQBzx&o_V)e%5^f%x8nBDmLNC%ACxW|Cs!VN4TLf2x%5A!-JZC1;X5DRnX z12*DH3_2GW{Zqj-dll?*n(#V7PVHJl8qHNl!Plvgs*+=DPaRh12q?9%*}Cu%FJUHy~eY~=;+4i5~w`I#4teO9_h z*q<)lOP?=mU>60R_IjdCK~5={o!TTQI~jI#XzH>C-n~;h0`^2lmT5+vx0%NVWuJG? zgL+>^@aPX|s;@k7)7wfIo1o>@^Vs-+o5qv> zVgAiI=62n<0o6K(;QoRH;yLwpk1r5(?WRNB;_Zgf#}uZY*MG!;Eld|mn;N5oZYZv> zXoQM#U7Pare<xo*r;O>uPW2Dd=?1A)JjTklZ^3VlW3u;!~=?&ireEokQ0Hg<-6D|75n*l$z%p&hAnne-=!Aj8#$wX~n6GCNxPogr&jHfbK45u=PA?AoE-@P9A z>F9bOsqeKw(la22Uk~hr$(v;{%bI0DWz7QBfxstgCId=)PSUJIa&Hz0cs3w#klZ_Y zL~^g6I`EU{wZKond8Fb%Xa?#5yU5a?r&Af&WB`o;jb;MzBG53mv`Jp?wUWdBYb7H< zyw`cHr1y==Gm@W5`X&NIHwjck_5?v9`wQS0`>{n<0to1jEkp<)KtHy8U=mxp>kkE_ zoon2~azN0y#{En68h2twX}TrJy)&RQ_=iBJfGf1xa=mBqd~riX(JYV!7yujyz+ke{ zfIj`dFG6n6Ze=kC{eQg%`>TJ?{tg8$pE?lu6wNXS>CAyr6s~@wfp$L1!F5vWax`Ga z0yZa&dM%zLpM@4Cb>i#V&nzD}X^89aL=RHca$K%Y+M9RB@*WQEhhe?MCq(;^h}azu zKJ<@vC-*4#3PvdV<<{en*iz5Y1XQbV8E%zN#l>;5l47ddz8%a2DVBoY3zN4tArTDT=rwo|Emj8J=hI9gw z!5+Z^@uIu*2Yl5}jft_qy}uvTdxmvO9O=agtb}7+ACp6lTaS~9Ig;G+M%n@0B?I%l zi!Z}1tFhh}237*}xg8Pl(=;dlJ=6jqc)Sn5)CE>!#DYeE-JeG=V!?a;b>s=a^e}dz z*-Ub!%ZYboTnTq&i6>r>{T1MOxcWijrLSJ5oj|$l>-ZHKiuSOzjXoNepdtF~)Undc z1xx2oR|_Qd7OCK^n(Tzu#fO@mE{}h;UU}j&6vL^PRyt$+#t@$tXna2@>*wAx7HTjD=Ao+usVz}k7DZKB$?shxSbi2rv4rd)4mGtEhO#d)8|3{pdhHKi#+E5W3ND0 zJBXDUOWrKbaAzpBl|=ZU>GBziElpqfb?5bRn70 zEL(^@weop~uVC$kChACdu0s1bSNX`_~@h>qvR3J7fRW#jA<2gFPj|iVTcc+CGn_lj)s4+HdxS%*-#92G_jiJ!7qhv78 zh+B8PHZr*yMHAjsWcyiZz=#GzdT>F|Ue)=>>Kt(140&hW%Z1EyBRX~PSxKKk?*pC)C`&*t~ zL!B!?GeW$H28iyF{i1`q*G3-c9ErLJ+?PkXI3JtBA3h7_;2}4gkO@+P>gF|kt_QjQ zh&T;6ja}*Xcl(oc!j&owUt&C)6fq&*lnAa8EdyScvI!wPB&hn*;2%nr_+wWj^_qCF zD^{YLrzLC|vhzozpXQyUxfYZ@rteLq9V1F&jZ0Hm<_bQR?WG}(g*jPwfBLY#I-MJ` zYIHUN?^aGkr4)M#)WC%wMlt6_s(aK|SJv)*p@~Qi@raizy_G(kIwyo}YQ6f{+JmTu zoWLMq-jRM+!EqO-@UHpEyCW;7?jzTo$)kY$A6q1A{aZH@gQnTIMJrz$4DoGe=pT(% z9Z#XAx8yv7o3$mS3bwgwmcfqwl*PEJ0M4gaF-IsrQ~HmZw&#FBXwZt|d_0%z)8Enh z!P1jx&ktxo2RCZJV!^=_{)H9C9zbty(#TmBrl zpBAJWF+AdW2NsvrgYXn@FP%W7^q2}Dr&57!cX?{>@e{P!daz?!RNVtc98i`kWHE?*& ztyioRJ(W)WBWF5%CwbcZ_U!L$83kSEp54-7mUHjVeF?48-TFa=GblB$;8M)wzCTur zR~QTC>+#ImW$^{i1TuWy>rYXagkB=lO{v_PA z?GEjocP3IqZQ1#%4zKTul56;gAL?Z#9j68tTrfmCWTbxn?muTau)k@6Z4%(6*t{-M5Jz8de>z^%sfzVUi`bkJltm^>p@ZP>u z3`ZTw=We?fDX;l#M=ASZSdl7g%oohDHQg24uId^45)ZbS&-L_LEHWZOtEASPqG4wV zP^pw{xA3%v80>JkVjh~mlF0IjLOXtpi&I&T% zCwqT!`Ql{AWv8_uprZ;Eif3@ zS6YmMrLGhB&t8odFCR+H#;V|lF1cvEc8dG<)|x30jMj!yK?qa^4V%|m^OMfC!ApOZ z@zis0^+IPpahzf=kK+&ejd1U7tGCQKv^hLG;+&jo?o6p5)$Y8ZiH4zK_T8BxcI(q@ z9e`SbO-xS!(9*)42=xWI2)+e3HBh6{*Ew$uK``K#z0&5FAIZLp6xnIy=)Zd0>lf%_ zo@sngR&9s%S=G{9^bN0H@|w(COJ-Ax3!4JXF1Qrcnr$+F9) zLGvYX}9tHqY)<@cob{;?_x|1`Fx*iq7@ezH@{MIq*xXS$>dUO`m;j z%fZ@9f>3gG=YwgIK6i%K%d-Bx>5ubPJlKOBRf~8g+?*=Tnz~9yoxJJfTp$`qu4|rquk?r&BSc{N`7k%AMUa4u( z^E9o&0y@`@=USTK;YEpTDFW{`}ifRv=X>0q7_W=qMk~q8m2Q4cZ2}x0!T+WJCZ%I9c`w)(_aPp8_=g zUGMwji#dQ%6I4&90@Z;5_-jEtV~C|I-~V0k02#x;$O7R&#sx4k?y}N!;ED|MVJ*nT zfnonrIGvIKT@17}7XYacAl3H?a0<{+AaF6F{yua3|ERlRq;l0llq?bG`CeQ!;xAB? zg*E!>bGa4GBg!ALS{h08qpH^A+ZHeFa`#0vA^lYRM^3FKVMR=c_CtKXoYDKkl znsJSN6mVo3{``edy<*^9JJnM)RM)man8*gLcD?HjsOnWEf0;h9IO5#o*Uip5!aD0c z?U4TP}-N!rc8Jb%D)lAW-BpZtZ4^MRv^p)?K` zw+tV`RbPDlqblLnWEr3<&eo%~;MJcnw(3K|OgTvQZk~oC;^Ad>^3%i{!uk7ecL`yh z(%lI+sKa%Q&8z1El&$yD#=?-Ea}qFZSDIoQfnQ#@2T5NS3p(y*c%>BXK6lmYA&cCGQvSIv^pKae{BWXM7>*HTsJ9z2ZwJ;Fa?dKN#D`xD4j9&=EX;0a+n4E9Sxp0Fz6w;*a|i>WrohbMk;V`u#&^K- zH}Dsj8QtwBRTC}tp1Pwh(6vP@2suzklE@x)b(?DE>g8>9BKO;+ME=wuV%YsTvSyAn z@)-`}Yj`%M>v)d2>nD%WZuC=6e(=0T9t6KGN-Tcenz;E|5;2%6Dg@AFJ`1Q{BT$uI zBisR9zqsx685>e8O-~D`JxYMu;{~Wa1*BlA(wjf;fGD=_I8hL&hA0SkcyC?Yj*0|s z5JL1EwQzcUQE~bZ(Pp|@QSh^&=)Ypmz7!Dv@_-bjf9lYo{mZUm-6@H-hnubwRFAoz zbIkW&fx1T%!!rF?FCY!Ral2pMv($$Wovkn2ILY(l1ym$}?y&(to`$OkcMV`s(!>IQ zJXiPr3O>!&B))jl+xP-MwZ)_zsjRdel`Q6Z{ZH_9mU{qC^cvuad}KiGd=WpPz}?Qz zIx}RaFc4lpNd^>P`g^-PO`ku*`uXI<@9stNH6M$DU9uls{7>p9>swLVUY+;AfxTi0cyX+ zOHg%o2~_tD0stgNV~JoX@_xhg?pZ5NQR(>Xp_b#me<{#cC@{QQVyC<cx#D?6RQT=zFzfU&z{m6_%ujVeA-F0d1^=$ z{0nuZ*%^?7hL{y8Gjt;0kV#06Nv}e}Or#05Hf10E5f`FzBtO>0ed{27p1{ z02l-Zz@U!+7(}z}*Twcdpo=Gxr3)Mh?^1|_c4=i@xC7GF4!(o*BA{`fSucXeDVsziXX|HK)6_<#uBs!uyi z4)wgE>n}y*|LfBYmm&RU25fecL#aIp+dJCZGflGd62=mTR;TNw?Qov$=`vsG&FM0j zgX{LB%f7h(kf1qcX?#`kk20!r?8AXJRoGh!UNI;s_}yT#i3>|On^6Rx7`pQn_hY)W zpPltPUTKdu8TBMGFz0(ErEG%yMJC_uw>TR$-u<6)J`AHP z?AX=ZhutQVDk?{2lPZOFA5FSdR5Y;Z@o^N1o4K#P-0xPo-ps-A$R;B#;XMmXTi|S3 z1)$tG9>r5iaLRzeoQ4tr-E&zHA2-sg{-TRkg7YiW6aWrM0n88!u_VVM@K(M0i*38o zc6k>djqALy&HK$f}+m>hnu~UsxB6iPk2hi~20j zm0a95{R&jFObsMSInJ)?km|V5b}WWL1{l*SQHd;0mS z28(H_)9?aj?>XdF`p0fJ)(9`PN)EaGDh}3y%~#_zStKtC-7{4Jn&wl z+<)CplYSbsUnnJ_Kfh`y{OBxM`pG-`dm}fhqx*H$_zHE!mziBq1mR`&o)c3+mO#_| zDDNBVjU8+LXJ3{*yQzoI%SG15l4ZB^IfTM#HHD278dqcp@tY1%y;WvbwxiFJZ+)2e zZe}Zv_!1r_X7nyIn@R8+`jg=Gal7ts$;5+}=eu@SRqvK{+Ft>O!YWTd_eDK4Mc^-o zmc%uGyBR0$gQFP6ZMuR#xh7czb;f=2xxH+Kw0>h+n0gWsNqnH0<2yhX{mfaej{6?( zz(HOk?rOcF1m{oyx-5q`Ba=^P4L&mme}m9IFE35nFC?#H{=wM6ho_ID#6ODT!1KpR zbYC*{v2?2&OG((ThetU$Vd0O}&gX4CQB~XQ?BLOw-H18mS%|PU zyW{yxPej#r5Ig^9jdN5Zkl2ub3HUk-$S&vA0U7H;5`m`z4Ii*(p4a*Zw-RH6?J5DV zXC$6&b@P$azoMakMZBZ&Y?ge|^9FA;f@8iz{U|!!XI41Fl1QSzD<MaQ@4qx`@;2ZW|`i7fb7#QTmVAP2MsU=Ogb$v$z+d+f$=g_AB{ZBuhMP zHMd#xZ<_n7pte}NB-z8R>y0y7{x7b_PpGP(@kyFz_tM75u;OW9EPKO+5|Ac|*ej`B z*clm4hfPPm-q}azWJzHwR6_jFb$^eFs2W)4fXsc7^tri_;Zk|*4W?pq{d?AOu;-&! zEQ<6gu_L~TO@!Z{euHZt8bVa6zUd{Ts~)5^_U4MeE{i#67h(}cnr((WEFAWhp%i~O zsxx<78nY7SkfJlU4WchW%6s&`OBv;FRK(p1dKWdEtlr67x#Lvyv@B;CWOV!w%p+wt zKd%Hym@LQ+`OZjTr}>9te=hX3SGYX8xs-F_TfVQT*q#banuP!t@0BjAHbY8=Q(_dX4^hkWVM1hc)u_3rNu6Zt|H?vv{FxV&}_ zTaMaMmx4_vY`LPga)yVBOfp+c9Pk zsss31w%ug@Euer9mq4+FP$bHhvL;geeMIZt z%7R}r)Fx5Itq)PvJ*3gYbxGtc#swQF5MfLQkFsv4c|^R_f>{F9aI&Kk-W#rsiwW^? zrgknkdgXU@&XT;7vCTqKzIYh^7I*-h=>Ab71HfRz2hn@(P<^R z(l_<_6!Ud-cgfNe2=`~N}a*d}``fjAZPK`K&6Ca?|ukp@W1;))n7wBoT8 zxP!eOcK=xS1d;%NEiVlLwq|~_cL}sr-k+7R#SF%GmT-`3*`zZSEtHA@zHS?KYW%&% zpL%x&P6FJ=^SX8pS2JCTIYNpy`eK9Xo&8|Ls*D;SU8y=ruseoc3G@h{V8*@_b4)ie zqxk@TuQz}b89Cq$w)Smr)rCf1qv#yX6~dx4AKPvScq=$Nmzsdg!F8}q9Oxv#<#6?& zQ&Hn7a9ZBdhDyM~QXiWhfc69gafKuU#7!r!3pR78J)H@EdJ_)d<%J8D08&%uhIJ7F zN{y#-k!!`5GDQKdYI#6Q8~gjK_rIfj<1*xyjzfw$IzIrMkByYR#ytgJV4Mmu93km5 z6R~ob@}*vx{4Btc4sgC(1;8LUOUOS9w1i*JDf2#(pK(5t{H4mdgp{oJjniq9Lnqv3 z!RIFYYN5^hI7u)~aae@&7GA%$b{z76G8@zU#_RE~{6&~G&zlNxI@@{@l-$xzBu z44yKDJ4>2)Fy;B1MC+HO!x@o9KFszdrilUG<_)MaYa^6 zrDJmkoE5l^kNuLbAITg;oTPzL0C#*W?>D3#_Uv#pUd{q(yCe+NFw9Y`Ce>UXHRtDQUS#jBNYoo|qapOdP6 zeoK8?n>&y^RNifeSk8>-$Y-zoJ;ywN~+`v>Vx)Y4OxwMWJx?O2_@ zt4;+=^)E7h&U%O#yL>jWy+c;kL9p#jyeY2me3Ih48-nrdTCo0^?ay%50x~jhO zt9Xu{DJr=^IT*Lb+p)BP3J*95-XSKXk*pfN%T_)GW-C|bWh?Kw&sP5AHbCWser$n2 z>KTdb3)^S`3}>%_tz1KG@m65KhilH)xOMLng}9hYsHzlG4SV^`3E6ElHXhcg2 zQzD7nFW^4&h`szdC0n_oG<$g$AA7kbz$8@x)C~b3ZiZC=@&@3PdIImLxBjL7B3g)~ z07&N&2mr8Se!Bjbo%!GJq4yNcl>dM_|Ct`@1L&iFLl23c{MCJ^|0_JC@%L=N$Ax49 z55y({%BtHv_qV)| z#TNN2OOq0UxFftr;Z~S4bCG3 z>7*i5=`JHI=+F^<&w=UDORA5A(}(bj)7SDh)8Y8R&v;4xdie3y{m8W@EqYAMRV55I zZGHAH^+FU-FX~mcZ*06g(xY?fvmga6(NYM@vQnrM=~7q|u~NJz!clxR?_4h$q)GfV zMBhUSqd#M&WcMnk1KxFG8q7sXmb-NO1Diu#joC0GuQ=V~kYZ}G3FtyaV`I`+8h=ik zwg3}&ebHyWfYy)ss^-s7>)P~c?)4vQI8Pdv=YTI!J73e!PJ{^kJjTlvnvJeq@9O$5 z(fXyYC02B|CU(6Q8b-jT^G^P@q4FA_OYXIvz%<#+0N8`8zCG(Ccn%Jl#iTT&@(bAb zZHd9>=v7N%_c$HUF9mf;myUi0veLnQpN9iyHWEXgYt#bv6um;{2&JLyk+;CBFu>V~ zf?w~DG1-1Wu2)@EGP`xS!Tc4PcpSoe9(!R0uC`G6o#!u0qE;YtV<1U}ikm!$+rWv; zwLIz>BL8r@b*;GRqWN&Te(f1A$p7}L zWzv~n-Kb-in`#KpLF*thpZ{O@rWu7=@qqglmN)FC$6tTFh6VtgiEzM>%?~m|SMB-u zPb%Qsetl9`w{>=|XNJHuu3Q2D&Pm?f9RoPZX9avrLQn+=9d)3Dzt+z6ezM>4w-8u> z!}$UWk1UFvQ5={tF6z|&0-p^vOnr@&+7DS5+b=|uB;)5 zyc`0~%L~wqm|fjQ8$_KuK+F%1pxgzXmUy6=JY)S4*YECjxoy;yi6?z*)j+fwqu>{n zT>Vh=)|ROGL|mFM=`g_OteAfu_hI5G6OV4l12`OW!*L%|Lv4@rkVJ6=jwf+NGQ`uy zb<&=R}1ZTo!E9T?BPg#@U9Bw^YdKM_bj7=&!yKNEhZ7@65Z9#_`j8=u@mXz%sb^(6hGCy`%n_PBG>dP%pY0cnY6}*=4V}u&EhWZ$Yf>&o2uQ&C+ z)L8(0GGKZfW`57hknDirV1f;w zuot7heXRIi`b8sB2O*Z$c&^SLZZpm1TmXA>QSPfgo0P2`_2Y=9eF^NyMX?HYoIQ2V za!a?SZC*R|LUQ1Ie2~)6rDtU>sfOtmJuOM%ia%HOizGYcv6z#hTB)hL)31~&#P?p6 zJ9l40N0`>=RuR0&{HwZ}{Ks6d|k{}n40~WOM7sN~x zq^Wu8m<=Z}XL)d4%7{aC_oIM|3CfP7jl3)kWstx`^lvtl%4trS@{sm#yeI?hlLFU| zp7PYpna6L@0t4iDsSyKIbhx5@No$`l-M2oO0^K4Vw22mW)Pe^}dKSrWjeiTPGgKe{ zb)nKV_MYHIEiKkDZE`K&p(MUn&6T7MsmHini> ztZ<`w^fLuRWhK-0b)NbTtWXgc2JrfF(0(1eK|;+yT$~@pI@fZ2&93lF?spw~XpmY4 zqm++)r!g91UhPW=-S&AVmC}Td`pWYdxy{G$>eXTJ#ZLVOGCJv-8hu)Tzi@I9qTk&b zf9B7F(PzPG>!criaG*Wg$x6y${uWDz(LUaXAvm44l~FD+wQ$!a7u0vYRn=xpb+?HH z_***l&Fm6bzm$j_SP!h~L)ngs3LiL=%QrUe9%;t8)*kt!Kaui%V2GoEM;}nlcKg^9 zeo2PxT9MTe2+w$)N9TW7NA@0Gf0^Q|xKzPnUuh>K`=dDPTYN-9zkHaWZ*-3)h)HT9 zpO9e}wpj;Nu-K4}j&evh_B9JSJsKzm9w~;*MJcO_q_Tu3oYB~RPFY7{J`bt#iMT~8 zyzsX*(+8&;7c-eP#m@mD4Z4w`f7aKhMAc@v06n+!X;YOLDV{v}t?Sd(&{%|0_KU#0 zS$YY_%trZg%U1d+ZDHQX3|(SB)4V{=@-@@hN-%@;quR^)mAb+!@V& zu7Qg2j7Xlld~BA`Uz%S_g7^)0`;}0j<4oi0gSPeVE8FWiLVca;>wekAjfUvKACwYp zH$Gt%uGj!kMmE^TD<{9e~5(xNCoJjpi?! z)5CA&xS$OlaI+(yAU(Xf8H)etq2%8x&dl*Gz=XVU`&}NZ>3TeMY)$PC3j_q~B|o#^ zT$OCEAwQ#D5N4S^xf5D-d_kRRxzPF(pmw-tS#ShSH2dfR(%Fhs@~AgddDdd*=t+Ub z>39xjDbk}dxjse8o=;z*V3(b);aIfL|12E(d#RYO`2yJY@!jR*?jOQGTU)O4RV)8K z*NrebKZaf$RsJEg|J{rd*nIHkg&x=MCl;l@kpb|*qtz8d>bIR{7lonf3a{jj>J4d8 zq@~v?mn&{42yZZC8gPAhJKD(35I#+n5vIczyMrx6<$dRrND`%I}hFD?GW%WkU-8|WL(qN16Yy%lP{U7~I ztlyt^s=sV1%KT2;o7Y4}pF4P6LAHVZMr@7rU5V`^G0m#h<>Aq&i1@%77X-vS@D>?c z8HJurTa8|pEV#ER+hC1!TP|X@IeY98Jl_l#o_7%@1S=?-C><@11T0!QCp>fOAxxh%Xmm^cI3wZv*5QvzplfC@UEgfbh(&OT5MBl4|MmS zd52FxsNtAgA-+r) zWj@JE*wIwyoX=u@u@1V_26Ezo94L^p`ux8uZxF>fk7NdF`adlHPyL86pb;q0h&#~8 zVz_pF5EDL+3?2`xxR{&3C*ssow+S}(j}h?~Y*{$YRe0QLvSfCCv9K->SYKM6a-e0lDG3YG_` zV4U+ZAc8h=a{-VF0aAUR0H**A1p?ju7yI+y*A1{gx$Xe_lduD@KcoL*e-d`4zt--44UA_1+Y^x%(Po#DeF?)CX$E}2ZfM>bSbeG$xz}Oj zZZOZlyCL9QuBW@6ClU4P6)9xfecTtzz*fjBS#Bd>QjlG^P>fr6rPG=AA~P4BSbJLwrq!08EG{qb1AWFd*myC@4env!{>SB5HOYLncvt6yO!T$46;om?po z^NlF5skY8bq46Ebh1qVbQQsPP(p?y7|LJjtc(Lv`WP;QD(*nwKg^WRy&l^}}ap)_$ z<{XtE*meNn{0M(3%S{&a_-$vH;oSfZk1l2`)zK&dYu~Cc7XMDjL*f(W1~{ZaIcy=R zPC1N9;JsMA)cTMfaedC_y+W$fIWyLI%ttv2^i|4I8cvGmuC^~~&aTSvB8oMoV(}A8 zVY%pm1Nl+iQjXiYx~0mpA<>Ay4>yqCD_dE^e)au!FK`YP8k|8nxU;_#43iHjTS!VZ z&dRJW$#3F}{Sx&GEz*&IVfdvL8@rS79-NW);2W2^23e#^h80PtP<<><-x)W}(@cp$ z;rxJ!Fc&_Xp&1>bP4X?4)NH~Yz>1|R!8m241eEEwwH}`Br+yTBn>{rd zrjX#cvv!~?RG2Z{tSh-`VZULhWtdUJkOF>s7R)74&AntNoTRu`XFo*j*ZTAB@N)e$ z^W_UWWQy-g{4r;fV%MZyhZ>W!ijI1=Rs=p><=M}Cz2HctE^MExX6Eelo4$N!^V<1| zTBMl$%m~YknX8P@7{lAz5jFazdUq}_Y3#i#=ACBUSTB|<`9b%Rk6nt;#7#AzAe0w~}J^InQvpQU8-pKV9`%A}U|9<5a z?~}yXv&kkp(r<=}AS3qnq$l@ZfBp9OAVus^cw>Qnc=Y!uMae&8+wdLo=o|8k2;NXu z@t7Ej#PLvJ@2#u}e6IZ6;ia%|`3H2aFO_dTe| zI0$EH7a27ne-+X7KX`lVpg5wxUlVt?!QE}};DO)}Ah<(tOK=bF?(Xg`39i9ya7}<< zgS&@hJHNYktL|I7``$mc_McPL(>A2ljxI>4|e`;tily{7NKV3kI5$_HZis=>}Yp&_wBXOMD=l_*bh9l{a~J7wP?9sLCU zr-HO@V@_*ydeNk+q30M+g_8n%xekYwziyY$m=9C<8=;|njo0; zTywCf%dAw7A^#YnJ#=%9A#_`aQJ!1k@=);{m*+GRrweg2h@&k!`TRV*5A-Y{R}CEj z{%*1P?B$-{R?$8kr}ZEex_a=!xU7L;i7n)ia8Rusi%rOTeK?9q4U-7&e%?!~+g&f! zbf&b^&K=Iy%kvp@gOl<6?(`>iYINoldwuq$Rc>P@bsByvl2jF2PmCe=XvoeS74c|E z1H;p>Jk9;dO4o6#OF~k*GU3F!n5%L?wXom-4VmZ~B)4m=Dy%*`q5Sw=)T!0gPvIvW z{!#E(*Qc_VFBOVL9I7X~im!qVgwdK>IK_Vs{P%n_K}jx@5ncFY#`B?cL*Fjk5g9z^ z7u*%8s&uoXkLg!)X9(Ln^YV#Y_-C)r?pnEsEk`yTRAc0Q8iPg1(b2iX%mu|c%v76^ zH}h2|C6vcZDb}OZYg0_&$WCsvbjO5u7=4>n=AznbQ%G68NOUzO2b*Rx%B=P{V-|=F z(_N#>V%=xZVEhMIhn{s)W7XO!8FtOpEJmJ+pKHz3(w7&Djq7e?XvjKZ(B2?{${cC+ zzM?)NI3-`Pf9jNcCv$(*>5iYD*RXL<@A(7D6jEc$CDTlbe~B*e zwl-n-BX>0s{^+A_EbXo@cS)@ocXw^oux85)Xm@7JXiC!DiJMxPK4u)X?<3vPv+k6B zi5+)nT*9!MzzoQojCEBsqI|XR+e`*sU{V)3Y3#s$rYWr+7UN~iLCwnDrMV`@C@^lJ zk0ghaNmH!pQn~zX(tIn*1 zh-ea+^we+npZbR3Gj_1SaFZC)#IPh2?lqdQH%8ty!LZ0K!SxVRq3fFGf-kW&>4Bqh zlHGd)RbvIh{Kgg1Wx%TUkb1 zb;Sj3vA+?7%(|Sww{pCZ>7RA!Lg3MLC~cIz4@7zRI&ki@l>9f`@(@aS#2noj$67`61L zeK_gk{arZe4(l;DnBv-tR9tEwQOxG&?Wxmm+qs3fBb?bfLj|TaUWU}m~m=BzJFp;?2a`;trX^`>5r(PeaIu6Iopd;Kf(fFa)jTxDyFQqD>Knq9rv>nUV5Z zN{ACDpqY9VFT4x+NfRf1V_wrKf@|37s5h z?lWUOXOHXozvinW;q3EjwvYDW`jcS@TZijXJ;scCZ?uJ7xK<>X|4!+LIGc8#O;X`S z9mUeyz8h|JnW6ZS+02V=SfVaXw#Aov9KzM4i5UmB7c={FgH^{! zEN?sLK${B9)-nM^AG!*B8UyR>dc+;>=y2bx>VR28f3ytuR4G{n*r*)7J7$r4%-du; z{^d&CoqT#>y(Uv^DqfG{Nn^~@%6}g%!riGAXq>a|s|<)qA6N`7)89WH2i3zMwbTizVtXB#jZfrW#!qv8TXoVQG_lE{9R4t-5! z#Tj>ld?zW{OO_5Fr5e23r0_YNu0)cp;ZIy#^Eyb?OYD;+UF@pJX2HaykPZ~sVh5Ox&L`@_6v?^2IBW3Iv}m|yL4i2#LU4zS9$IY7_d*{GhIv~_ zMeW0>N5-i~Ib-x<7vwY>5rsxjE2yTK-wVpPgR^#|oz)*oK7D%O(PiT^v=@@oU|DC} z%+Sx!g0Pc(xGHuvm{FoChgpuU;z=)mKhB<;qpxpa868`OUY#%spIR2%F&rv;SKX9o z?WCzW=0ba;^>`B9&HQSe1L>xRM0{qad$ZC@7`JKoa=`E6dbVMNLeI8AE$2}0r$o`w zaj)#Pcd-8LtZY!=xr~JuGks<{Q+JI2#@rNwkuF1T^^5%-Ux`hr^u{zZmS5TNXxw7i zNq^iT!*}a9>KQIj+2eU8Plr-YKdBwrBdT+VlbG37Eu?VW zPgHiSSC57#iFdtjhtFzDBgv<-U7XSr(yNz5P;$}o8o&OAO$GD0wwcuQrPfSzqI37& zD2k1MVTHM~?7Iy=_if;Cbt1N36x@%=KfZruwe4Etl;Z_i>=Lb(HnN}Y_!!He)jf;~ zPpz6VoUBbPD>h!$sE*?Y4GnSUq(c0t_KdGeZcj`0b^*v%@a5F~%90^oZO^pek$f|! zszmY7Z*$s9Og^e%xiH69Zs4zDzlV$7xO!4O$v40KLFnSUc!89X9Z@Vsm{G3T{gMd3 zD>`i}vAf)oQN?T-AZ!&DZybwH2JwX>ae+#};2KqKs(_w;iqHTa!eg9Gm70-d4P{k(;nd+mVN_6}Qy^PjnF zd{vHUqqiDzYBnO!R1Nm8r{i^z41yH~DT)mTsaXkqa{>OfR<_C*jWz8Akwo5-?i@qE zHwEk5GbeN0?8u1j8RtAON_@$}h{7rQ;tUr?q}*f;7F6A9RIJCMm~P77FLp7?wIUe! z{^GZZaQWIoN~rxOtJz;nycj{;xa94F!Iu)oa!_lJnp7Q4g;Jct;o^}GqFiwm2VP!D zqN3C;sVwJya5zn@M<>sEGMChR*4*z17(y#EMbtRM%pRBY!iAJ+uQ-QDIX(jh zmie@0j=8Qjz#Me4lYRF_4{Kc|E|bP(JJtw^RK8U}@B`Cu$OWm4AXZHkX-4zPSiWhv z{-V-L(*u>+3qs}5j#TI0z+Crc+f_CEI;5Froz6GcB@u(RNX)mP1fI(EM09Ias2@s5 zHqJ@iv9AM4g8aZ0vP18)W%0-a#l(^Ar`_Y`w7-&q*47>_;}+a)uXH7{pNJ)CzTHJjMm@1C~MPidq1Sk)MZ z2z^bX5)yQK30!dTJnG-DYpkh6N(s=gPX*^P7ZU7B^II~kJPE{qQlh;Pc{T2i|B-_n zAayifMK#f=(yFHJ@hjrGJq3gLPGsfdS1-FbyeZ)9DU_85?Dzf56+Osn>?$80V|d$> zZI5-1P|Iz@(N#H%!8vS1?g6f4#aOQOAM~r{(xS+zz$WxOCVok&PLH&vI+Bkv^}`^A znKe+B8WT#Pcm--+12*{48=3HW zK6uI`^9=_xCDY4&)}dDbqLz|u6lHYi)k-wHXmO4Gl5B__b?8q$F!~bBzk%`i^Nf<9 zckOJ+B4|-`$?-y7v`NbSpeU$>4!s%7Q?|DFC1G8rUoXJxFI<7r2)(I8rmR6dy z2y>b6+$TL{iXXJ=<*UhkfYI;Otmp?f7~k=1f>7U@_L~5AxzRR$ch&IcG1B-F9kdGi3rdOB_^{{>8nxO#n-n8bVK&F+@$ zmvlVp(>e`4G)FOe@<1n}J2flw zz`5K}J85yXj%rr+=g9y3x?HMRodS9tyRVmzo}$vBe>Q{=DyVXtMFHM4XBk@FMNpJ3 zNw2)i)zZmzD_(#zFqFu_OPS=524h0|8yVVBVH5i2^D)BlHQzhdFD(tmG2(8nGA<)B zJrmAy8r(%5N^+N8_ zYDNXA1hBE%Ep@UfVways3rF`6R`GWB6*Ggm_=Zu#i(F^qG4@>0%s(c&Ql41;Y~R|W zA6IvdeNmpNt~D1weBewHgHmr>+8@kfQ;^*c3BdfaOhAx4*nXO|~=+{g=hMhx! zzjaHrbW-Q8Lv&cBs-WRN5prtowUTOJ`LdQ!%2RPQdP1iik1>{u9kxDMW}3x;__&GCWu%?82b=Q7gr zfTNI2k-0C!qp<2l4=a9t&<^ms;W%W)u;3VEtxc!!+G&q>{ir|4)w@hh=kPD%hw2-N z+KK9W(n;G{7rNM;$O2FJn_eM~F!g)MGpMfQf%c+tsId9)fYw->eTuG|Gu0al|7d@I zck)HC@}rGfdYhGDouf9+dltg0`z^ghWz0?C`XgeDIyBX-Z*H*#iZWywZWtYQ0?*EJ zqs(>sH@ngCK|dJTEH@$CM4RVc(;~i`w<``|C_lo|a)g<^DqUipQ-@*G3?8+`LTq2t*Zn@aBc{fsyA~`aHDk1BF`ZnF$z4Ovp<3SQzY{Ue|E7lLk zBUTgFE!G#uB{mn|={;d2nYji6nfYNTDOm>wDOo@)NsdH%D8;21?Z~P)+=wjB?(hig z(C`RP$*^wxNA6ZBUG7_@58Mz1dG1RY32p!ZYF~Xoz?XUn#e)|^z=MAc#f9%fz%>`5 z{SLu`{SNq{ha{K=hWbH8L)6GBQ1~r6f!H%TKA=*J2dEU|!uXBH8M}{yE+rC*Ce??4 z2A>y-3U7jd8bU5Okm9Er$knRq#RZXa;)1B!a9t{WnFLP2p9D7C1un3NVlbe{Bsc)j7zG4@;Q|1S5e!x@07Y8t zfuJH!M92hV2FYs_)>-o&`9G;5B@Wi#a_XQAzT3*)FoUW!ll_ii}X;}GPtBm zmMNrb1Z3Vt8zK4u^lB08p`0-N<~`jWnN@|rQNR1G^J(bm_ec(SlxiOgWY>1gJ$aMp z_x&o5!pMu7=Dgi1y$SF+zocu>3PhO!!O8nQRwl1E18nJ1BF7>%7YO&)?C>cwX)5k^ zibW2-mkpaMf3;+yB z0Kfo{+EN05!G&aBDN~Tja?J?n#54u|AxPit4L{o! zM|qv^MRmItxA(p3{p7OkN8UT?N43r*0t=<-f`c-NAUra3Ax@0X1bK{c2K$f4!wIjQ z^YpH&@Cr2=A#asXM4pxWK)FrKv%PIGvisdk5wmae@J_^n$)N9(4%z>TG#wejoGl2! zL5p0GA*zQ2+Zi+bx`2Lp{E!ivF{3BJR4^F}79Y(bf80d=4{Ykn0FgTUP*^Zi*ALEf zZ2}xa$+L41a9&rCig*Q?iElknzlim53*Q0e41WgZ7Kan|7C#>LH{N;BzglP#ogjbI z2-yEhH1V8U+_FogaW_gBj9kHmtNpVt!?l|pBFvGru~oiVGcfIENgxyqHNjbo^urO1 z(!|Y;^2LFP&c(M-B&?_r0O=BmFj0fXEujyI9`hlzbh;QI1O)JI8XWAr7pf$VfUyA% zG!6vZGVLy*z@9j6{$rZFSs&{mp)Z}k-L&vcutHFkzm0!%S-9iPgu0o0*7yK!?;)vl z-Y`rt{wlCix^fskbIay3e`#gniF3I}J@2-kSX`5>*lD=5D7ES30&&XDC!g7_X7peW zx*x|!gwm`K78dv#1)8|T4_r@bDV_Smzb5x#K@_mW`$66a1GuUt3(675f_PS#@2Ni1 zyp zPfINft4`eu6HF}xV! z*&-8tkOWg5-FD1!o}|SjaKT~&pwn&OoD`s`VG@J?oopQJGH(vSIue6s+|ol7dXuI*GSuBK_bq4P8pZvD7@XS(i|;(YesAcvW_LDS24e%^F+z zWc|g_CVf^0Nv9zR57Xby&u1)Bm%9bz2_|ntp4%>1sL)zfk3IxaPK3kVYVZJ;xJ%JT zq4IY@9?bY?w@OhT0JJFt&R30;0Dy$xh-1XRF@LK={&92_Q?@zyOW^K(?-1xvog=>f z_DdXeSnqFriit9b@8SEoOeL<_n@A(}1<1RXV=TURpvkWmO@S|DKSf-)FG942eha-Y zrY?vZoE)9^eNZ{$n?|Mh=Fgw2LM#~*^+60Z9k(@8XdzJ2bIL4TdLfka$66f2%59Q& zg6>o@5eF|E(ajhirs&o#;f?%PN>BeuYlc_`a9$c@ZS#}1&v*A0y(nf*$8&W=hCe~& zUhcYE-Py6)#(pDY4ca|2#5I}P-~49RfvVFp)7!+al1TDmG?S&Xjll%e0>h*W&sLcB zt&jQUvG`mcb!JlL^^6U+n+(%>NkXxdmn=JI!U4kbCo8%H69y!?!BQ0S!VHBphp>}VIo z>#@1()mMDB1zRS=gGrU|pG?zf1S7j}omVT-xIP=D zm|RE*Az$`tDtjS3;r6K^e03q*=>>H?rJO-Xs-(uTOg-KlYWlHl?nz|6So}TWA2>Ui z(HCSxahducJW0eEnt7+l!sFwZYazt;ykffTTZ2Uf3HXTmebVjE*6$~7D5_%_U^Vik zk(EL%UhS%S^s}}7qb3H1osMn(xiQlMexP0`{=>O zs1Ds`_LaX4SCKhbN6I%n0g)9&VPC7yLUYtLnfEt;!% zQZqh0#p}DvP0XFkLdR)3Yj>8lJJ;H#_EZY4a`h!8gx5zDNt6-z{sX(%ewU#~n!{fC zCm1Cr+o`4EPFV0-qDNOCGtL*yDidV2H2%3HZRt52TLAL(tCAB+Gp~D=eJ_?~2OYSK z$;P~Ks>?918x_>jEiG@K38{gms*DOda*AyX{Qh#V24tp8mQhTPlK3#|o_|BuJ>@>j znkg>I{6ejJP*Y&$V_}&dQWt`OD*Y#OrLg>a(kS2ZG{KugC zEPyA+t9q zN;pXG9X5`cpz0YQ@0t~NKKPvbF6rldTO1qWQ<%2|x4-Gn50NIB)&x7C1BT(NFz@bE zxi`PKYw^!lmI)VZPZFP+-N{&=uZD!Np$~uGnRL&Cj|sI6YOW&N? zob5b;kl}+(-@Gd8&6jC}ybI?3`43*FpfJ31X{HFD#`lnWc~Yg<&RvX2vrH94yVcv@ zOwW&-@#m5yzQ(pO7VH(#q)O}NR8-kti*NG~OBnuMW1IM2?VA9xwkLi5e=7=lUv^$* z{qKezw*K4!A-$Ko6@9;lb-4YWW420vA3vzsRo!vfqkEF&MOWURZR>84xyob`W@wF} z2_I9UJkM*wiBZI?ikZE8Kka%vyg>0u-yCe9;p62>XH>xblvJ-F@+!ZQ{bN1OEl=Ge zBp}9pWpjHgn|K8CPu)e&kL@k73NOqYeW)oVzxQCZ9N$EVY`9I=fm&a0I=N99jpWdr z#~G1Jf?wZ>6lTS#-G>@o_j7OGRg zlsS#jU6j8}(TVlD7rL1C(|YPpJP*tcH%g1#^KNR=$dP1kx5gdl+~1mT2v-^|AMH`z z4GX_Kaq;82_aS1HP8b1QHFv~wL)klZyxPQ`+4)Qfjbm8*DAmH7^4Z;n=9G z;S`FJamRnO(}gWxoIZRv^Vsc0gJduxYGy^GciHy_{!_GLccI?pMz;Eu{ndD*BEVZT zp?v@1k?RV|*KyM#IN~dIbXIW(Hqp5{F;oL;6(@#)L1 zqv5}>`^u#0T3?y5LUHLQA}X{A&8C#1jL8j1ypVz#CFR${m5@90x^(Y_925v6Qj7+@6NHi7iCQ}?^Q>rVLI+4}rXq;cOyRA>({xMCLWP5g`E;cO5&{i3|LKQ77ax=%gQ$cD^~VkmSw z#urb13>2&ANdxt6FJnt7W*dO^`6z2E1-|e6Ka!+jF2E!$cPJ(a3|>d$euW~R`5E{a zM8L<;O1JybxvtKks$+Bv>@=xw5ZMNCcapYH;rb?x;eH1xe5#*6Z4d!A^u|F^`6Gr& zW56wBsJ;pP;Ze1UU-HheN*I~pew8YZ;aQbHMvXY(i1XdQsI;JHe*bn-P1fR?cXw;) zHB$VUDK*mkoSHY}u>9W_!}0^GZ|5V6%Fuk*kE-t`_y={lfBP}{nXqLa_xPMED{r;6 z-5fQxC5!YFY9m!_EYjjRwd$9m^yd5@LG>%|^oCsUzfd|2dz^umPmQ-uf#2vQ~nQV!T6>AVQ_bGz@U= zT+z!eXXpZ|xXcW!L*5eX+`0P1I9$5=P{}#D`qWIOqu%}F~wEiR|DUcOv zQ0(&?vFz)pc&LMFq~1lc7>$(>xcyzKtNmpdal z_@Z%tEbty|EKW3D*;vhzBO`X^>3v)+i6?l_*D&q-(6&a2#wWSE`M9_t$t${UY%6rK z^yT}8NmKa4USYmdK7T2TO^rR4t?R?faSP-RzwzhEm!P5d(C zQ#x=odMH69_IK()b|j8Kd|9DD?{Jw)IA?-S(Se}T+(c6Pj6w>F#71fY)QaR_6P)!> zKOE68P2Bu2U>+Twi*F`Nh|*-L5jqY`x_{Ahgg(=RAmA6v3#JkLp?&VmNKz_|E>zhI zX;jP>;fW5_P#6HCl#Ga@EXr1+Aj*lO2*}N#S_7Qo zN?Yt65Tx`9-{GqRgK@nN7ipou5ca>%C=FJXUV_$i8hi=EHRpbiTuWwr9uxX8qc(g5 z&_#cTW{w7N#>>cLEfVYL)#!5-(E@ON?ciMMLD>GQ7`Zn?R<)4;zZ5aOD%A5y*5$~Smq*?)XGgQLs&wjYdBrg?c&O|@ zj1pIVasjFIRAJCbAXAz< zx^w>BHh<7t^UWxMrV}d*H)%?aIT}#lUo4C&P~nPL?@&<@W|-ADbYg4DbsRD(GKdf( z?MnK?Uo{3NCGyh4SO0sy1$MhwL3&Vj;*fAFewi-Q5Q4z+5pPqX`=IipMP4z!vmO(_ z<|R*Kd3u<69$0C5%!sIhyPf@z68psXuoh+;RLfJFATv&^6{F81P9$TM3$C7JC9Z)`ycT#(1Ot#DkG`Sox^J6ziQfvEUoRZ+)m6O{*Mz3i>)nM>uXYHx; zv#QHVoIg){Hj)N?4L5Ax#~T2E!XN^$Bj?zJVP>8g5bp#l(a>bJ{(JrtU+(kR&0%sr zBoO?(baQ4dz%;4XB@EZ{R})FCKI*==2AHO=MZwdqHqn?qC%(Wuzhkm!fY>megfV&l zBV3;i0PtYHm0fZ%`Q%hunv zIq`*UjN3%K7C-_eZb~=zA728X-wI)8L{2y2S;R))emIN+Muq`mt!;1g4+n5y@csz= zIbMbVUb~W^gLb*i*A3#wX_pZASN3n>5*scW2*26CDN%>)%c9v^U`IMRlAYG;DBD)Z zhRi*NU!6oRnAGkw|1sl`y8+c4VBT>YI59r3>Xc~CE1!!-DTE^z48Q8zR6HtP3x@UaCi;? zs0&W0J+9vY*d$9TJuNU5hY%OgTt2yB>`?DK$6EVV=x4L82E)>I!!Q{iG#hvkHuhaF1 z%tXzcT%&658Q(ck3z6CGm>v(39-{mycso{2f+}U z3qeRZ04)gv&=Pe9#c-gc2LXIiV~H-bDN9VQiPi+@TIR6eka-yvZC34l$iQ9Tp8a7q zRKME8<*v$}&Dr|HF5&HASIz$xqC{T&|39LX#F^C#^T(b#WB0yC1!q^2b%KIYtz=$G zQ*&*pUPQdu&Ho*_7B_W+h(s|l_8eTpF;dnAN)&0xGHsjU|KyY)_5b0No-55q-kshr z#h{sfi#RpNnTLcI3FVqq+j+z~=;-lUMCRBEg_P8Lvq){+C4s&&GA$d89<$*rYFZ=# zLj3QLz!@179C!G!rDelemI!nrieVn`VR7S@Vks(ffl5 zb}bUkGi3Njj_6od2dQ^M7ZR*48FGs|Gp3kJ1gl=u1it!_)Dn_TwdIyn5q!o!SE`$2 zwXwpi^qTA7I4r}~fUzIeBvH~Rw;-BP=HO`Nkr|msTpHblolqYkAN(BRvt%<)R6s<~ zoIB=A_@|Q=toV10aZtu@b%ZvXqN=%$nf}+10Jx_KdFFE$dXR-BnFR{H(T4hvHe;j040+!YAx(eOlQv_Vp_S&14n}f<->}YBBY#$0N+7FJ zS_uN0NKdKdBOQk+VZZQSNOdO{A2;QVF8v*7R*W~DOF6wtm_}S!*0Qr3p3_&2@3EYs zkgUMS8IPv-%T7^(Rt@+W#FqE@&UJ|@Hxxq3C@e(k$}N1$kfHu4+GZVbxrE3cB!`M8JhuE3%`t&ERcF~3`CplV!z#!G)3KQ$vCCd zSHGH6v+)i-#~-q1!}k(JiXyhjFBV;U_Hfo|Zla`ocG3T-p8%nq#fJE+_CSyBhB1vF ziepJ#wd!p?nh@;b?ve4Qq^B4u#{(ejEXg9Elg;jA3zL!Gd>Er7z?O8R3mfoMF61J) zE)KiLsNYwZYeS)T4>p|?=|=&G<9L?;7qFCQp{oBMU*1(f`to;}u4pC6>4wUB)zUw43(uu;tECzR2&g&I8!0rVWMTKE@~g7-Vzy{s z=Nwe6;PYrQ85vudNP<-q-y@gs&)Risk~8Mzm$3YOuh&OOr3OdUFjw$W*Q18NfWH2- zvS>MRp=_ko_1LW^m~L*7C~8Jc9+CHSd(3v#kPE}Kbs=poJ(MJQCiZ}7sydZbzm^MM zL(yh$@Km{J?r7YqTlUR&y6n1Sf+NL~bm)(D@A8g2r|IV$cI3|$vQs$9o^zHE4L833 ziYOr{>)IT8ue}}l89<&LF=*%Wn0Wq{+B)wOsmG#pO;F39wDY*%&?2X7STr%!VWX6q zFj)^fxW%yI+FP<*dEUu3vUucjDK#~*S2!xPhDYI%8N&03+kj-XX!{2)2Q;7RDbHCKuC zf|J6_0MmnT>Eoaiz&gbOv{8C)W%>I9;jNLR&kFwa+IQ|uVn#jQmv2(d@}7%oPo>Ei zT$ucsA4J9j=Z9S}tuiZ?nOVftB)m_9j?4X<@|_5@T`gM#gLc3(+lu8?CS^>-LKIdy z|AkWGLrr4C7ZNw_mAB}9re)c=lo_lKzKk)90prE}zKEtTm;*%}DE^=AwK6xhfXTE&ktuI!>!$o@8puaI2wl`Xln-YH+cxP0M8 z8joK%j0taN2^1B6(RJvH;f<0a zVfXCypZaxL&F-IkJe*ZVO{7gG?WpZ_=-aeSMzytot`|AB2%~-)bkJ5YygX}ZcQhE= zqXHz*q>~B#?@-tPHGtv~}DSPF8XyH`p-{pXlH%ex3qloEy z@K|cTz#JmPt_ohqVMd4NvaW zu2hXH{i@|;otykso6o$av85hPI87&Z{`x3j$ z`rGL|CY$t24Oa^y_XVNDI`rvd`7)>?6wK4 z2HS3ozk&UVw5`Z_l*=^=+di_8iL)&PqZ42@JSaMN@-FL;E6Lbcytlg{6fK;HzvD5l z>M;&2n5B&N=N}RD=BglrQGSY$qP7Z!-mN-Ze^$cJhV$He)lbKx6ci<`cGC%L!bl!D zwW-chqqM;MhF; zz}P)JfA*VWx1HVc^AJj(*gon@;uq>u;woAw@e}nPu@KXCCM(Vx0A>;ZU?zS67ZN^! zKg7HOK*E^_;5~|M@Bu4qxX2=t!H6K0;0Pe$ECN7-AjrLPpNKc)Y>1&W z7Q|4dkHn7*hQyP&MByGNgyH_E1W3ZD_(;7dct}D{!AvjtFs3#Immdowwm%jYKt66~ z^nd(M!r6z$yGi-Rxp4^~=v=T7NH}{${3pHUK>?sAq))fJ2%mt2GmL!%7yx?u`>qe+ zFOYEd2hIfH50G#MOa7mPvoSD4svitdZ3kZ}HG=<>a0UTAGfaV?^kbk$+V3DB;S6@? zpYS^T3m|{`666o}CCFsZrzp43*d`*;7@84iT$tiyydCq=82Az*L;n^1lI#L0Z@++) zw;e=()a~Ja31{J+K*E`4oC=a>91uV#gXLYw`8svs58kf2iNu&`YcxpNxmYVqm6G65ONh}W4Zk~Qn{cv- z;x2E=6Yh(5dXU1QVudh&*@rj1)dzkTstO<>o8}1K5oL#OeqEDK5Kn}|j<}fe{j)aDTf4=Z5Fsl?7Se`6wp);@mgg^DVV+u$#yaIlhM6Y(DS1o4RqH*j%^Oc9qBl ztgW#4CY+G2Gl{|6CjNwZR+xq9E6pg(y(Mmy^1*&)5JUZ6u~m zK$G=~M)C*#Psk6F(2RTK!juQS-Ixc<6!?qXOR@78i1-?+1oj%C#M?ERe()Lx;84N> za^!Jul{^R_;~p76j(qlb(3$Wf<(b4Msx#Tbh|~8#TADhLmZr-t*9BUq5m|e->{^E! zo?ecxn0*86)*iins)ukk1v9u3*m)v>{=yY7eQxyw|9%khHY!Ko)Pdtb#_FqT? zs2@{=DRQRLNU~@ACmOtpcWpn-@8Ck&(~y3J(&+8?dm%6%_HwB;ss)YOI{KSegm{T2m+qNCwQ>sj0n48VFDlN0d;48?V-bB^F_t1qqeH%GfQB1)Wf{)oxuH0cEw0Hsn<7qAcJQ7 zb`Ml>xrFTA`sPbQNfXSWWK_A2WnlzgU>FBbX2PN{5CLORX?R#Ur&UeBH+fu-qw4P(o8Z5K;2DB$06(h&~ zKf!eKHT|F?)5L!;-JgE}Y9?GhHdL_Bwp+0NwgrRN+waOx-I)~n_dE-ezatvwA&#BY)qD^Z#-fb$-cls)vX_(ZNeB_k4L~5theAKa2)Nr10tAHx z$we@IspyE4+=OVYQdu7gO%y}n+|(%vwqxcCBgtoQ`*m+SWI8^JK~)k=*!PKuzExRY zQ}haE*g3nEZP`nMXP!Ote%noKi&#gG7zTUi%bl8Kg%hpocoyPz>V9R2LEPNB{FxNv zO;t;JFuV4qDEEF%U)A$(n2TM7tqQdLu4cz=5Jmre=&?d$Y~k=!77|9MIZ+FJHly3o zj01Z|dBW6}?`G+(Hd(lhnR4Z}+fS4YnR3l{?KcMEY^LnqN423d2UacfboDvYiqg*B zt{JS%SJ-^RHABw_OBSuy$Gkti+KxE}b%$F!&%P`pEsNV@mqfH;@<<$`>M{C-6PCg> zu`FjUzp>XXN#k$6OiyU}OxnGFmF_q9$g}2vTx}U)pXd{><)cd$c~HV;3YfyWz5MO?Z~W3CVLlgj zD@#JHb%3ix&UK0l3gyRZ?E+JD3W)H|PCK2k!FHhbI^bcdFka6Rd6~axMIaKc*l)b# z=ZKTRTHrAq$YK~u_P53DX)Y{B9E*ooaW@~f>Sjh99{#x9hPHc8DjeT-cgx6`R zE}Ps1Qo5b*fzz*cM(tH6;8x^b+)IEt97=U;rV zWJk@srWe+wr9aV8I$N4=y;jo1q7;9=GuoIe2~RyKsv-P4t<9-)cBalLWzqeExKa@=fKe&XB%T-f~nWa;{Pk>I`V1;oWG^afj8tNOLFyQ-A zHHZjoiT9H3EXO`bg`iS==^*`8VrVyE&bq{`dFwAld-Gwcp(VY?(EKp1hoZ;6XJQ%5 zky$pFPVBOrg1bcdEna4>ZAPu3d!im}j+yZd&EQ2oTf~KeqApSOBJx%cSW=)2fQB%= zuV5XyF|;Org{z>y3vwl{{H2i4hvT|dq4+8sSzQ|y-%1-DF0XMhcB&*cQAKXQ^v3MC zN>d6u++^`nW`unYxxLs()gUeZusfxpOof~9vbXEe8rH!U@9grmwK3PFF4xOde{}c(I>QZq=<9dm_mEsDC;!C z*>z$TSWPONu!HlDCQl8idViEuA?Y^G)X`cwu`Nbl&PAs{h;5Fw!ekliDx~U1SW{5D zcq=dV-;H+xH7rwjK7lwx-gbYxR=?aw@jC7jMBk>@e>P+p15d$6U!mWGzxzEXT7uHY z1!jo{Pwke8cH$U z(U2SKhoSPrw{xS19&XsA`!|XP^yWfZi@NiaFOQH`!m!t{TN9y8p3$cOKZZ4)trVz(i_6cPQ4%aUUROR5 zD&zJxw!<9Dre2PGfvv-`n7_L52QgmPkBuH%yPudNp04I1N+vusJ4akZx7u}48Ia#$ zrRI0yUd{Y3r+V%B&3kEq(x;yvj*JnI5Bw#-@+_Zg(axX6P zSX+=#DnY7JnWmm~6Njk0wN&*fay|Ps@Au;F2|e@Omz7cDOg~`_Z@5zK@U8dnJ%!%v z$A|_d@gpY+=b3hvo*}l_0_W%10hMDHfiHksCs2pW%e!c{d^6;Z|3aoj@swm zjJw1@cjOl7`JM$yUOoKobrvmdHCiiI$C$Y7QoMfeK#vcOtK*MccpQS0Ht#cgLcBXcBwzg(xrh2FQ*VE(iv-Ej=S))+z_V&l&NvV0~lwtS;t5T9&6YzjiW4^Wj z_nwtRcz`-@jWmyF!UMMMq`>&1qWS)+H?{-3Uuhg{OVwG1c0j9;Vo9~WK}S^7o5_W= z2bOL>dQ)8S9>f(W{0^TC348S=efBAlbp88~^vxHc>6>B1(j%f&?iq$O?k0_O9}l!1 zDVbwllAKH`zb`8(?Q$sl(&66v{dIcR|OBe&4`X4RDo4`(d0BU3pBw8ev zbl8ELDcEV@ai-_O}69PUqV-xC!rSHdOVPM#DXRy^bq zW?h=|M6idI8%n5V@e^tlqAa_NiuA<0jc3`Oak9So)mntb*q5G2II+vKdv z6kiB;FlLVmChEB?g^pYEimg*`a;McJ>kw7yW~Vf_=R7({$hn}lF%-H`;g^LFPyPnxbj0@TE@(wwm+IHy-{RGv^D#xy`dF;-eQ zj#Duf%p-##>`p3V?;dP{!hHa?=+z2%5wvoQYl`diOJXUWyPc;BxETsa1Cly<+q4%6 zfrh{0O3(n8bPAzuK8&J`e+=K5(bqJ2{V{eaz2Q-7B2Ihh*(-VQv4>&i3{Ez(_M9%H zFK)ETX5^zMSLoq|qZx8BzAneT_u}wvp28d* zZg_%A0uRn7joUMR<k1np3|D8-Vj!_2($&+^<_=h*f6dFHZE$vXXpouIB_%;rgiN(XOA=yiDoBFG6 zn)}SbJ2m}c12r)6hTSd@n`Xi&yyfXx0?*?S-yTBB&E$5zBYp&7$mJL*wF7I8$7FKm89a)?oK93{$q4_`onrV_F?G*X3fxS z)09d2rhKKAD*DT3LOkQ+f}a&|!e1?~R}szEFj#992Swy}hS}4|J+qkVqo@#)inuZP z-nBP5`F8CHMmG@7Qx1Nd$W@NUJ1~HozFP!c?T>BLR?6sS^=l~T*d0DqG5cDIJ_KYI zKJHDii^2>1>>i|5obq`q6K`5$I{2f{qVsR;YDW0epDZI8&zJe&LIfJmcyEq_S^PUQ zsus$pFVspr7cg!WR0WU*RTFo%(!}evMc%o=rZc1?dn@4o?X3QT%W6sk0Kj7r>%Z?T z?a%gh+W%c=p<0X&z>N+-?>4#aIXWA^o{+?agw$Xrb@SYJ3>=WLHD0HcbTd+Obs>ns zsqfXxa?V(?#@v>P6722(E3*UsyH}dNRC%0Qa_F6lKoA*Z!X!P01(L|>2)x+C!S~>sL0Q5Zg?hrjow{lkF zbo=y=sp&TC1v-cBzQXBN^T7$C?dmA>{^CULW_iT_8YOqLaS?El$`Wu5UcA2xZM&Yo zXuH2VxLaqr`zIG~yT2J({G(HG)p)ZyvNN?Z;yi@1&Y3)_xoW(#KqIbZrFJ&K0XH{` z_XlC86#*wrBW;(Rk2MU#S<07Sf50`E0){Be8T{-wfHRtI~(5NK@K9MBnOF}?XL zEYAM1*0ju6askyf{`j&}aZ9?M3;RoVJyI#Vt!37b{o@*Kh5-=?qv`6OaeXoqSM0^X zX88QE!jXRKls5$<@A1rPV^8qnb^=_wb?OJ9o8M7mJ`uX~xc?!#2(`{o)wXV^6>uos z!s~!bITqnRTkf5(n~T`ieeynb%Vc%qk9_o~+8W%uWg``_u&+`W`)oT;Z(YQl%Uf4~ zLvW05TEN@#sL*5vqRa9$y)B015#Y>;1NdaeX0Zo8bHG;XANq^^F58Yx)pf z>KtadixA;q{M=q56WiHxB;BGybDKI2p4F`|SKA8xswQH$3Z}i&@A(7kMn<;LfE>Uc zRgCvM-ZY_A(qnun1pTU~{k0J$A3()=?nYAtss+bG!$e3D765EHbtmC)X1Vdos36Tm zxoLHF3gumO=}XdN^3CYQ{FShXfRSMCE#Wk#HKr2A+OTf(jn8inO6s`2N^_*LJe5p_@9!oFwYk*)WW-Yd+c(S3|BLg}nOy5!1iTc>r#>!b8B)*)SM zk;%i%##{F9j9ws0dFHY);)2d!0>58YbCT9p0@PuNB8?l-GEdBYjswTAePL?LtA$7N zF98)m{@35PQhBkO3%`54a{kQLOXp@3yXJ}`u&2p**7zG=!=g#wGu#%NQ2Dx_xc6(9 z2yRTDI?U%#>kZ%?)~EWXut$Byk>8&~rQsxC9iC^-Z_Z>Slvp`8#2b0W|K#^v;Krr0 z?&|J?p(0~HvzHo1bHVM3J8265IWqcAtQ0jT5+HMXU(W6l7xds~bF~F``-KG0OM)}u zej%OZQW4_3e%{W87b=o130Qzcz3_gXVAB0~g+4dRJub_?hy5issyNAo-cz(@;qN!b zo@Nm>!tZ2vL@i}`C7Zo-`d=?gHO5IixAV&aEn zB)>VOpVtNOXR#_MPT9Ul-d!qtJW0t}zaHtGN9FofC(#osH1<=gj*5O#ym<=Imy@|R zrhdG|<#iedx>5$-K8E>!SCacLZbQBkkO(8VTj;cR?SeQp;X-Cn2CBseY|v)FfVQCY z1=OyL#S1qlY}#P!q?f=Mr?2Rg1WNCnAYM$_B+NwL{P_3eO6uInAb-}>x*zw354EFI za$=Zg=e(taDm@c4yRnEk$W~G0g#Zijw$xv@AnM@Q!ROH5Y`(Ku{Y(+jLranj2RUoM zlEuT-Dylx3=V9Wj|1EzTN$rr|{Bfn50z3~$8`ND+OYB`TsrWLtH{-7{Mw()yF;?fB zVo+x#6kWK`PtLq#Dd9qq|3gNOGR?d8y&B$I8e>u@-H*55sHO)I*iTK~S&jk+wkw2e z^>u#POY8XY{}$8{fxSxYMuBjbHe;PWoCNT zXiKfu%gH{--W`E!HSvBn&K^vPpLXt|NS{=%sWJ}JhSm|rqzezRtH|aw z@}Vhvl-0~jnh?3H*#{y-A%}4Dl%B`7?l>xU3TgJa0Vv{?^45?Yy7VgB@9A+zb97l0 z#0K|C@mbu(+19+D^y8LnCRBE1V?T+${ZoCRf6>nhs32~MZxMlOo%fIO%Uds8MSjZ0 zxdiS$%{d_qTMm7DxZ$0cP}2z_mba3{=I3up=4&5HtNU&t?ecsGHCP*J2!SiXcUCp~ z2GI{3OC|-|&Dn1cWF5KW=XuYvyubD^S!zgxTe~bb%=KikKI7^W!6<)cQomretEcF}1RMyyneIE7zg{yJf z8-yO|>V&~GKXy~pVQRxWLYZu~eVz3N%LM3~YQ_h1Mg_B@mWz%HUum==!V`VT@TeCp z1ZKPP2kbo+i&PhsRB^ejh*+E1t1p@nSgIooRY)sE5c{oDU9G*lPe(&&cvqP~bO%DCa6YJnm1jD{Vs`P)646W+pRW}aLZpR~flGux8(o5nJ{-sr zJ{)tnebNW+72ykd>|rYsX7z2JoNo$l@I&UAe7LlPru|fU8aLh$b2|5w5>VpJ%igYy zKOxf+#yn0;4vZ#k2#oYETp*7x`uUE%P~3qK0{_S&JuIQpWSjZd-Z$@zpxH{dG@9@b^B`nBBb=?l=$=Y z!U8j|VeyJ-kI-p}ENL~u6A6Zm8+9AuoWue5*{N)9T&Qu`}&?&^!IXHjnRJik}28_5QES9Qs zWX38daL9F!w)%t=MEOc>znuN$di-npPYzXtP>|BJ$9~|^HLV5~tEtZg zgU^EWz?McIC|c%IWJQ)%Qmm78C}8>}GZYWnR;?2l8o_~@wu?n1MaNTgtlBRb}Z+HZ17Z=Jjdp)E-=$Zm^6{>LDJllZPdLina+qG4GEcT)r z@U|M=ZPzw*U0XxQ@Gj{ii@EP{Z2PsOxCHYiFzY&Y(a5FQuVkdK9T>C6hnfOyWZ4`I z8QSO8HRPt=mt1NnNqeo$fmYVjpYR^l&>!%!;3?@|q7xCD_kcg~d-_|M1*WWXvHhcq zpUdaV->hr3KYdLl=ukJ*ntQl)zz_U+e|i1&KEiV{dXR?D#-oi^+8Jdomq-F*==+qC|hw0}d)&u%E!Su#94qA$7Ayfb~ddir52^8I~Z@KVapo2LqLpM(8A z$jbW^_W<$KOKsYu%9rIvKX21ExuDEFNXfPe==IRxZBQ@mp}y=^Ln2tEU@Vm6UWSEt zu3E8mD$xHW+I|lYsf4gmIP3V^6m55=vRz7WH;r@83>>u<71(O~d9p+Ry-y_T4;ZM& zx#zrc@vjYPVZA-{5jB1IXrxX2L}tZnK-l2sedh87DGWKid=Zk#1=;~?E6=)dCA1nV z4JLr}X`|Z&KR4}rg2sUZ?(@R5)XNuRD->7iZL~M0T{-?!bE{w6FMN(h%8hRt^OSA_ z>>Zl+yB6wpqZ?m^p@i+H)8l*G=an15)69HI>3UZT0YD#WzvvJ!-rD!N-T3YtZ@V+? zv&Hd;Z56Yt7bgc%{=g%frm+#illhGOCiMH!%-e%9|BOTK`ym=<8%*>+d%2e?hPTf=x~f|Kl=+94)LqfPUf3yUY$7@Nwb#6G1KIu7C`fiKIKVQcK9E_h z7GKzs>KA^vc&IdOH^5?pAUgU+P?6gy<%rI5{e|wo@>~h-#JORab(k&N1hR~vesR(AF8-8I%T@8z2D~=$ zWD^Ec<^b?aT*@6$ON1+jQjimwSSR)Uv9kDJ)U!} zTaH415`_53ex|~yYOx>TQns$&jDsi4XQ)g4uc6wQTbk@Ty6>;vw9^zKKVmm$b5=K* zKjX|m@aw#|P5jD8*Tl-?%10H}Wr0{U^pjn|x|$GJaJd4sd3fq9l473l{hf0x(lN3 z4Sgbz1EX`U?2q$1GMn71hz1CZ1>Zgwi?l=IpsFx~DbAR5)!>dhJ(`TqNuCc*t>|9Z-_j+GdZ>-|y3%N)0;aYzk*Gs%D&lGyxt~gvbTIcVt+(*S-gcbitYpbZN zI>0vhJ$$MT3-9xv-A-UW8n*$EzV7vyI>_ zR&eR=i9uN%gah-L(l%&ty3RUQ^_ed>*k9_lzD*B=8P|HGZT*}xw@b%yiJ7{amX|!& zf(Z4g5`Dz}75(&^zo=D)*qSC^E7cn8^n6P0!QC!`X#w}0!$SWQ2xqyAYq8&qmqOEW z@Jf~M1cW~`L5(jd%Gbfyl8-%3RvlFLTWIEU@;dMxg@uDh+eqW}RiCJ=>4`{QRPFpk z&0)i$9ms5(Cv=fEjB)-zuPFxu*zxxlBf6@X+}kf6FpK}FW(!2l`Z;{h1-h+8-2IgG z2nUgnyX_Ig9H{<9TECz+g#3NJ3i4zB@Vnta>`E4J_-O%Y6NqDjIF zsj&Zcy0WvUG3|SA1U2<=ZNe*)++R*pua&=cB|j3^j$Yfhs}}s`JZWJUDECb!=0RA( zgQJr%2-DhlvH^+0x402JY9_XFb==k&2w>%!N$hd!nth|0n zZjKK5TN(nuQ+o-u<(lxEjA34MteDUWXx8k*Q-}2?$TYFH8sW7aq(QnDj05iB6H*YL zMJ{8zXCo@6#N6@(lMM~etZLFoel&2cJCKx`)&k%EU)8()I~ zi@_e(9dEDcbG}rjic1$>e&NsH#rq4~D?*;+;&vyf1Eh@a8HIc_4h#!xa|0b|y${1p zXRRWsSmVT-bJlBtYp$VXgg<27l&kxuRD8U#U1ER3R2geUUtbLMQI&dLxL@-iYlmS( z6_~-xnZyDgQ>4YLy;EH8VI2p*tH=!_7d++_a-8tQdV^aZ)uPdTjV>EnB6M2&{@~!< zXhf3;4DRh|`Nn_4E~uO!OU0|gXK?BatPI}imzxmGHr&v5Fkw`bP4MQ_c!|?^ zm(#d08M(tn;j0aQr{qR1y$>t5`!CK`5(a(yI!n00KEmV1GX46&0c8YH9)iP0vjYuI zvgyqufFQB7)BOH-m99;mJi526Fb^0{$2eI=Ai!rtoop3Zo>C-$bn;)wZmfC&_n)(BO180I7ac%CMW zK&Y*el|{j^(^JidQuD^|2MSzzwgsiRpi!!?sAS}yjpb*kQQin zu;x_Fs~Cx3Ro%v?BvJ+m6AXGnIsdlAn`k&4WpYq-(Z1mzu%k+}l+M(LRCJT6q1WOiT+x`K3|0!W5QEoJa!(f(RhI(^AwnuKJ#zova4 z!4~J9{Edt?iuCKCEdOxOYdzb`1lAbs6wZzEXNQLJta8u!Qbx%{^g%CF9xMe>FlOcz zo3rBOE!6{Ze@PU(<&(Zpy?$D}Qq}$5+JQnH3$xWXz;m*$%r@E3754#V7smUpi3`xvaMV-W6=C(uPS0+9zcB=+% z92;?5Qmzf+kYP0I(L^c#K9RZQt4PXP`Zt5*`gA5P>5wDMHwqgx-0_0S`(oMzMU22# zWRT;ctm*f%QM;1E;Dz_Hk5fN*&#V4q=A4cmcT^(TRw~2(7WX+cxf}unM&>xcIHp$L zoJnZF^XQWQ2iV>r+ql-#Kc&Pr8OrZG$A%@)Z93_q}{YQmI%|? zH;q3Eub-pd;C*h*+Z5s@GS@JTV0c2piy3M z6}ytx;|H(h7hgM=^+2Q4Nf<$W#@Teu; z%_;8>;Sd#BHhx%FJ0(X17vHrj80dF=+f-Zq>X*n?H}|>SZh#p&8F6p${4Wz36kAUF z(EPnmEWxAS%Csjax_SXP2ia9D0kbs&&Qo`0`1CL}+aG^`A!kl-3=X%``|;=uCaWDb zx34b+?c2pw75MBL&vZDt`lwHboC&xO4QgXYi1D7^u@0NPx~)xkUeHy}zFZD_(F}*R zdSvx5787g**5YL$XMRiA_R5!t*9ncPMDhcsOqT+`MmE0?vnKK&8Ol3~CSWWJ2d?Nn zNqGKs;4cBIgMx`4@`3vhC735BiN$Tzj73^)nE~So*HhGwBd(^~hlWra%E2typhF5s zNLn$2x*^pnS_jz*djY~Lmd?PQ5+`hpN-vInzEXa4C&iMIdUQwldCHTp{ZGW%ol0OF zwuawYVDPgqbf1GUuXoLBhrk^?Z-IyxQqI(@lio+?J*Eh-jJ2%e(e$oi0T7*z?*uLa zgU*pHb=Qk=WUT&e0c}Xsflt=ullg6ukBj?%7U(U;mjo6By!mMPBE@ZGq*f1r>QDB%tW)4Fb5PoYMTz zt3FW)Eb^}>!e}(d5uYSwN$`>Ux8aMmyUju*50U)$q5pHnOm~BH^dIR%N;hh+<#=N| zA1Is=uB)M5koC8OenX38bQ$%}loKZXn)&g@))(5xLXfn~Y9V zpRGRsqNZHpf2qz#0O>9``<~)rFveGwbkkGqF|7tHA3Xism2+h=9%h*2m3(Sp3-fil zs~0e)pA~MQKm6fpLpPnPj+6dmo?&DC@`>-^jgha5=a&)wm-J$zRGs(0^BXRinPZll zGCR5de@HB>z3?X8gu)z{9!l0Gv|~mWjWgav&U&_^?Q{z z{t?_$uG!X`GX4`+>2*UE5b)<>e8;$FB$96@Vf1sq+5B~ev}4tJX4ZVX3pOaf^m}=5 z9WmAD_6FaLCOaqw?OmPm(3e9I?-1=CH`kiTh$bCx+8#Q%Ccx@k48L@6zE90Q^U6Jmw5uG`% zNQmNoJ`-Er#W(M4&&pC@bcE$4^j{?%Oe?Do>aVYwM`!|!>YbC^xjeM*Xx1G2e+11n zIV53%;)}kYd4*B!OgC{_XsEb)n;oB9e>i~p+b5x;<@q|TT9J;Mb_1_>eI3vi=@tAe zrMqAGb4tBej!Mx;k=A0eR==a6#0y=&nsqVX}AC&?7eDij}_cLGZ_P7+JaTy7|#J z-}we!TGfKy`61O=eimHVYHH-}_IAb z@7FijPjes@%M@l>y9*;PorfZP@HGY%aI=cfAHN^~pL4(HlNp-B#>dWn^1bNvhVCUn z{aYr+{nnmJzzj+ zr2H=|po#i*5y7s7r;jJjmQu@9siHuqjK7JpQfzSZOgYlBoSZngapV=~b$a*;{suTHF*wgX{V^@)n~!!m~n7lK(@P_K1ngb=tc z6-b&exH^Yp>UED@%=Uqgs_@Sbg-cgq0j;WLg>Anw}eiy9s;=K z7hR}QZn;~e+}Zg@8}oHjwP%yF!mT02_A+A8AFUj{^>w6RE@W#{^tz4Xf3!K;&)zuf zsuH@*c<*SL1#NwLpi!5Ra$kKmi4Wa~EQ~DZ>4++L{l7l&7nU2xNottbX<31Qo9Js- zz`#7i>>uqKss(Rbra4dByI4B45UQuxr)4DLrEbJ8bq6#-wRmaqf!V}Ill0M5Z-$FG z@rJ92+lO&9==qDN&-ux$i?A()pyf}i1ag9LqY}-?r16RU_dXi+P|yHMF<|1UN7erg zCMYmC;Yt2;86m=d!Gz{zk_OK|V8Xk=5S3;LFLVVWfFeCfnt=mv8aQ7U%dE;}D@&ehUR}&@*m@A>z$=jQ^EA~1t`KR-?OPY`Or$i_~X}eYQoft-8>{O8$ zwq(Lj1vwlY<^TCFnBZ^p4Lp$T@IBLja@Kc0RuT-E!+-m1ZDlFMsM5u5n7J|aB6@J> z<@|VKs4xaY;L^E-2$#~!!~VldN+nq|1H)6a#c+RgicY!{Xj}^sa)cEz=B&x=pDRofx@>t-EQ!M_ z>M2dK;B0KI`W{YVnIU{W_NFK8YmVUZUwQZ6_@m3u8M0Tt>7Ca~T)K70NIaenuS_q`z zCr_P#!3MVvH96X|>2nG96d6Ah?hO4fWJ3JyTH9wmSqXpM`=N&Rf62s615p=~;%OpF zFqYrM;%fbs)~C7E1Dv^e>D5?wLLpY9#tr9dqujF#(vj?c$;5!?tLTkkY1YZI$Xh!Zl2$pOk2=4s*_`KWEe`nIJT=iKeG zWqlJ1b~URrj$sOU;Ask%3z9!yR}WN?<Rcm`?vYi(wfaG*vPos9$m8f6JwLFo7>t*SJqqOjk!vRaG-{ zmJM+lb5T+lucifqTbeIu~4KGH9qitG0S}Q%D{)AxRGhKiA?8f7U;?AR$vpUS@ z);Gyd(+Al-i!tN(FKbGn8s4F;E+3-$GJf;Op{nK?rjNC^;l2}IjqXb|9XYAY<+(4(yQKU?A*pVr@;K>AGdB z&5qWm>a@@=!Oll^MisLsBGO38UU2qAd3*-LEH*^~q?_EG6XsA2&Fa=d)qlX{G4B##Ll3u-!z+a@FBHw ziT!B810LxxYT8q>cND+$!hj{~I78yQxw;Z#45ah^=p6mg2t$@&eogy7=IrREkCnDv zef5vVBji*uYRhu!KT}Rye4D1BGrj)`QKbI|Q9#Jtnglm^KN`xc8H7s95L+|}x}f?u z$q(~C;Qen%fmCE+%D}&QmNW>>gm?(_7vXMTAVrn$BbllbJW!%sp6bw#Qn5ajK2?^t zsAR42ah7QyZNS@i4B-TiY>j@2F_$#N=7k(xIGjGuozCkjGL9tT3+p;)pe zCJBSQ+@vd-*LIO#!KCWxzJCmq%IC)fFCBcQyf}So-drZ`={}~v7+RE}? zSy6b@#!t#!pVvAf4OEsg-|=(GR8-c+S2El2umMZ+zO0(_b7GVj4`WS;Z``$9^oTC{ z(a@a%It25~e6QY-zTYUM34IT>In5^r!$Lj^rb?dX{cnF0nF({W|L*@!Mq&32 z!ze;H|1U<-gYsijFX)HZAGCPNJ^&)br>F+3e@^tR^P4i7&M>s()>FaC{00t;hYdnH z?)+=77hzj=SHmumVuIQ(db2VzM6m0Y9-PDs@2i%ssPl{Q{Vi~RX5jV36UbA&vJk(x zXvWI-N|9^eO`HfRIc>;w~pNUz$7|`(?|HPo)2u%JWCh0hA$wOeRyyh~UeFCdQa0HXtE0 z4~ThWP_$X}4|*(HtiymclM0JuK}@6&AN2K0a1x+`i>+}zh``S&s9Vj6THxpmA3(*= ztM!#)BS(!E6!ZlkPR87covk*?je}hZq9pdmZUDCPiQ)r{U~wX!bXaskhzYIZ*>H4U z(;ti3rXNhwTgpS1X|hH70l|ir0OvB?31xcs=3mBr+B9WD7y47WQ6;j5%&o$BZ7g0O z0mFb1R6^!Lqw&rypn?fY8?)q|$-hLOr;IHGkzjZuW;9*1ez+Qa^+ooPI(>_bs@rlB zt{ai~CzDUXmFtWbv`yDPmxy4Aa2YZXyC&%7ciAs9W^p~xj+Rto_;+r~!GWW9mrLlz z%Zr(1 za3QBQO=y|xeD{+Y=SS1bDzdE?^RhA%x8}U{t2w_eeS9Mx9kC!!yu;4v>rY)nGmv*n zBQ0`lRz1_jAT#0Hn;wRW3g1atD z+Gx44ov=DaDJ-(qF48NiBP^Fn+Gzn-DUe9T)+Gmuz$fg_f*VE%kBLtUT-k5y$Qu12 zYo2A6*}r&kZ+R10N8o!5JjBJ6XF846JB^Mktu>s^tZ1Tjn+*e>IvgEYBMb-uWQ;!dzUVmfi{topzdZ5*}X?T}aNxHnQz9nGP zs}YjAzFBRz*t&-tEAlP0GqRgT?q6D9TB$*b+P?0~{d+lGllO8-GRM+}4YC5)dG1tl z-&!%A$l{?&=th`2Q#!_Ekmp$(mvrNgwzd|WI#xhi-N>)Rbx22-{gE@x16_C7ZkBlN zHD~$BIaaNPnqBA?v@dZB;^S-u_ZYS@}_bwwJin zSMx0ohUK`d4+i8O?;^~0y7F_4DL%&?#5I%V?Asy z)LpMCw_pOKw2g*-8jTpY4r|wA%wH$eO%Avc)3Df<mCs(*6+*3ywlqq$) zg#KkEMyezL*u%w2uzX1DnA{MDASskmkjY`EUx7ISX}aeVspke0(pI037xQ~|o| zsJ7$gbT~-V>nv!ffPQEozc>hzf+i>t%!?gwc@3^esR3KgKakH=`K95Csbw>BS<-Qx zVL@YA+bjgBj4bA?Czj1>QBpAjBb?yA^$1c!=UF$_X+XoU5i%>AoYDjr+7VU6*4QIq zsNqFt$vRK*kfDX$By2G12|E>LdrW|>bhgNOU@&9E3yfSAtW+QZB;yogR$oZ;och*4 z4oW$)oS#Jfpc$*>Eu8MpEK53Dqn<5YSN|i`-m%H;hWR<1selZ06PU92Jy4ppoThS~ zrcck;c-uj@!*hub%Y|2JHfnXH7qoQM))J{2*IzkG#zp|N9Nb*Kg^RQPa_?MX>iAa0 z(a5uqKIO&3A-AssjZ+MM~$An^)#@ew?ZFT%UGix3}ehP#Ld2Uz9 zOXRFcJ^Yh*30GMU3CumvX;hj`wcRysXY=6jb7T8ht8-;t8(uf7G0_9gfmV%~x=}CJ)o3RNH z-%SK~qU`j?1DirP0fo~v&xWyd+&6?8(ph8dbg8W!0jmafUr$i0&rTpI==O&_S%Gr^ z8ZoL@&?+){#aR6iCwwc%L9;X_k+-8*zrzifib93z8i^B$1JGxTyo`*RZ^bqCPTf{qrndWO!1iqL6)<_ z)^tX{c7k@k3NQi67--82y%lIlgBj~M8ViyQ+vNe_k9@P4Ew+{t!z?=Ir|BL+-|Dn` z&@Ny1RoowMwq2gLnaJJt6`1C$v;jTNvYvZ1zcTQzi)m|yM2QH5A7nUymDfzI?d1W- z&Jb+Eq?bRS3^tqDhU33hxMhQ^X76XMHsRj6wu*(>Z4})u;VI7`;MiS#I0!>6- zhd;X`DKxrlFe0>hw%tT9JwyBw3tnL#H|MIWFx9K*kJpY@#(AlrBM8+n>+th6Q zkvhz=;Px3TpYyKhob}_xdo;ergG5#Gx*EzzYbRN98ZRfwcOo?jcagB-X+;s}7N=eC0d|Cvf1o2Z z^3n3gr&zh zYX>X~7+PmSUrE!&5_AV2DJWKb)S%%M791H>;>$usDJ8GrS5sg;W_z@6ZymdGwQUcg)fAu4^pq@^B@&~IS+UR z{FpO3(0v9FRo`EV!{SXT3q#1Ap3HeRmlO7!^Vs=t0o$RU8r*l~GTVFZ63K9b*} z<;TSTFjKJkn<@vDKU_ld?dUX#z_DREM>Rn^jPn~`!)5D&=<5wwjJe_3$tqRCcfBmj z_j^fl?&q7o`4>f!I?zOH$p?EH6=S6Wt1_k z#waH%02nSxm9dc#5W!Cx`*EQuowP%J@Fum{&P1A%fbc2X&^uU`&DRPB@uTvxa>Huh z8O0tt>ZRP7;94E58|0E`$MEz>R~@!+`S5S4^k7P?%2`sEjmqtUCBdOyaTnRvZ1yDT zjK727iQ;N91%fzIv<`8?HqAzx>$kXU!YF#~)8wQJw3~%wcvdQTrqwK04B*M z9EmaBQ4ZnkbntqCwLV%KKW z`%oBy8*-KyM_ev!)pWpv&sqNf>gsB;u!%kG{JH}cGT1^SDMI)v8z8djA)D_$-9@1j zte1^Q`<(N{!l@y(Aa2`eLN@9+*ftaxmEu;@7617 zYMP$i`&;2#Ygg~;Uh5`a*=hB<5{OL!pCf7d=~6w-KR;!;|Rq z=Af1gl#Q0Zof1E$0&S_Y=5Le#VRb?x`hiJ&-h2PI)o^DQ3QJLqVmSP#ACpsj#?Ctz8PV{JJ{?f+hm*9i%nLqv5t*Dz<>5;z^NHWeUCebW-#*(goAwGZSZKEx$iSlCtF&B!$dAq$muakZ((aPv{oPdd3 zOHh>rC+Mge>R&6JDo(#PV{7T}g-5d3t6sX!<3eUM%{~=76OzR-NpLja?uUg1OZmda zA@KOqcM=^zZXMAYi6kd70+-^8(xBdB6}NZA_Kc*TT(TvNa?kl;&Z%UYq2>YNU^3o*p#|?*YKG?%(G6df0PLrcYz1IugYi0XgwaT`He7`rooY*`PMClOs_<+f}QYZ=~ z>HeJ_9eX)>(!T!)i$VFAv4q*r2xQjrf|oPiqz&=XjvN7E&2NF@vk4Se{YZrI*tn)b z)b2Rr#-qv$7bz)i`fkK?_qW|mUCWbL!LC=JC>F_p8Kg(YvAWT(WQ28a>(-_`hU$k7 zxLD|n3ViRL%b`_bSvX0s%O5&9%F&BcnYeuD=%wC500&W2pYnkXyERD{*|k5ES0u@8 zX=hm_7c6b4X5}OT!XsUILv7I%WFGHrJlA9jzId|smSRDipC(AHYqSPm{G z4Gew{0;%{JmE2*clRNYiqt!RC`KaD1D9l=Y`T2oV6Byl)+mYalApeXvit5$y{H}+? z($D_J^+on7q3&yVu07jqk1HtoDj!6sKY-OL^LqX1LS(VC(C3Jhb`(Dzf-PQ?Hcif< zA@9~oXNFN1)>DaFOsKAUSwUTAGxI0gb_e<4OXy=Qh#*(3Irj4Yo0m$q2jRhe3)Bqn z+;&wHl|h99Duae?PTws*#TV8|BHD~CQV08nr+OHPJX^*{!CS(3R_D*KM)$!KR^9xU zj+}RXYBWh-m4$}pv$VWr4yGI>Z*w_sGj>+g%b+>N=8`l295~VJa%+K7ZEf8BJfv`> zi}M_E%J`t@Yo#GN(m%}Bm+GP8&(&Kt@)l@F^x5t0HrnBl?LoWuFXfW-o){hOyBhzDg zWAoL7J>o)hXX=*ENN?|&ff-Qy({Bjw0M-0gKk6We^acj zs`rto&>gO%qB4~q)!v%-T&e>_*44O`tG@|Tn$H$=DTnPA<9v53n3UQa+-8<=yvU?% zvhjMa5t4L@3WgVdy+26te4A|{np3r`O49v4(HjNq5xJPor5f$(r^AU;vtF5Lo?TH+ zAQaz^mUg@-X6Y6~Lzm~f2S#gaN!>kc@8VSNWJyZe`1+2Yoqaz1`F}hau*3Flzr30w z`m*5nbxn`EDn3Lqu@hC2i&hNP8e_NnjJJ`H4im;{vZHM%y#j;rjzGCa*3|6u#rjm` z2+7=oM=`=g%+`kxDMA$H`}VNo6;4AqTK0a>8}Oh5HBP;{Z`{Jc6^0u&`YnqH4k7Uq zDLX9jyOFcIHtTL5Z93OTnvnXl3Ga+P?CA{}dG^+$mDoh#Cd~Q%0!aL+@owbBn_1iYX2b?@a~Y}mBb#OB*iPV zG6h-Yp~UL>nu4LZQnG{L)2Cez_6$Yt8!qJgd6(B;Hdl+I$5n(T*26 z8|PMWe;` zH{BMCA1BvE*cmQ3QMm^98w?Kr$bV(JQO5InRo&y^yv;}fkA_#Yu?Q=+Ud=@H5!LkC zewBa3!;#J?nJ@j1n(+YrI1~ZZ{;}q-3p-WQ&!Jlb2=SBs127yfUi9u^OKGaII+CS=}uY!I8hwN@1FC3 zlF>MwO`6jwS&3yh&OiTX%1^^wYaOucjrwsHMP09wgF~mZU_ct*>B)iwKiQLYZsw3-%M#G;6=iVX{|4WoK9c3%LYpG0kZS5Lw3T8n&d$IaX%HW zweGd22Ev!mQv_vGc1W|Mq z-Ufe=J40kIGpl#}>-Am&z{G_FOX`j>fZ{UE$6prjeg}@4Kk#56pu#3O&%Tvs4UYg1 zOzY=NZUdAI-S>{-3Fh0Kpuz(Y?2i55l#G+UBKbmwULA^jV zD2Ih~A={?V)`7j)8k|8`J$g{QI`35)s zj-tz6>$B*A+$9h&!e=ntRB2cWyVn|0hs}q`0$VO*0rKIFTA6G{%M43_&wkFOd*g~; zg(^0T1fQ`YvOtChCV6!>!%~Ra1H74Q)b+7vFadLHD2B+GKv|#>2a4G@GVrUF0R|g_ z8xGQ$BY-j3(U)_F9|N z!0>~hm>Ihi)XCdER6$L8nt0U?ARbRaYRSkAk6sj;)W8TJ6tV(ff_)QLHRP4Exm3&PTFUYwvO3l#tzNNjqM_vi`#-O7kWZe%qR&Bq zIurQ{nUQ{J$dR~vd8p<1J`@{4H)OA49ZepXl9zrv4)8y*eK#q{1*3>qD@b<@zZOM@ z!kU8t81m_RLxSM-36&u;5HLp|S;vdz?*J3$sh#o-#$i{DJ&@+dqE{Q*F%3!p>ol~w zeTNC47%zH8Ns^g$^pb!oClS~MLu7q?oc=5fAfbp`ISg=dm7+_Eq1OxX-&M$iP7)x{x#c^{J(Ckz^Ne8e~U<<#`H9ZYUEu5)i1ims#T!%(hyNlI1 zGkY%z4(2@Sf?eH>zj!e;3A?h6NzV%ry&^6|rt<>k?g`pu{KJ&R^3I#3w?U#;gPbaD z9|A431ruini9%sl?Uo<91(6RK5n0JWu2%9O0MSmgR+QphfN&I3w}()OwHcA5E#+aUvRwxU3gA0n+@PW={o3^3 z)~ytWrKHHyE+&nX_IOS?gDmlueNU6!Ndc(TOQC2AQ@~^7-CFHHsz9AJP&~x_1mrIA z{0C0yL7Ql5?lxXUt~6M{FlnR!1c+mg)m~x-03p|`#1k-{vB;YNuRCn(XzFssY3pWY zzyMxv?KT)?howdgIlcjNBa6$wSpiaqERAI@#1f)S92Rz=$`|NE?ERJ0pVt0YK|I+O|>v;ll zZ-Rk=Dc?#=0jf_Ic zZ^i%8*kk~qHsd{q0wG3`v?6yQn?F4OiGRH5(w%MxGL)}NJ3><+Yyx&Q*EoE`0|=4q zM{G?a*W{&b&8xdkRmd4U@zb6@fUJ0j)1@iqE*OH*3v{rF3#I{&Yqg4r6v$?QQ}qq^ z->ySXB5nNdxr1im!^OJvYI#k#*MWQA(Fo7=4RtV6wunF(;6~KNAju`JD`0X}?Z@l$ z^-pZCF1bnuT|QH_lB#*gJP^G?J4d7hYL%)&F*cjkij*!uPX8!54f#Mm32@vHnXQ(D z46yp+Hrwy|Hk(alD@)vT5M_Ow-Tc!+90)}9LA&$YfHHx+S?_>l5h8CS=gf<^8m^9m z3I~Z^jR@J(>j553*V#svB=n)IN7DP}`+*Z>@F%q^0CDDc%U?e3(ARxyLpf9u1|;Ds z0%*-lgM1q;zZ|EHMivpf72GqVz)Hh?JUc74 z69Nk;SGsFOD+H`5<_G#8*rcHt;Dw5dL+mcH18#HQ^YX*P=l^p8Q7Wg-d>`ri3x(Pf-mGcV$qw)^ zalk!)r)`ps`pwfu_tOiFbTfU!aRFn4JfNb5+_KTd8u%Cf)}@bry9XRWKE1G?y)a2C zc}ahewB1MSk6gH0bxkbWVb&+zo6!r!n;h6m{^W>ba#k{*Fd>#kdOzwsy%g z<#(o^CMX^_O4RP{Cl6~pH`}t^CVHZy@rveI6&DI;NMYn|er1WdF+i9~_L)@f4 zb~_cmTDi7UO2oMy83a@grGZa?it}(IWiAA!G?-dw?<7s`o}wLGACd z+Bw3O#*-fLnr|1)wFc7Ms$O_&cjN)a%JbG7nKP0}yc^n^$|#9u5>`g=>gY^#y^#XKzSBee)BSKzoc z-T)b!_i#3%BMknvV7C>LbXFz%dcylY$!Kg6DfMdbTlEeN1&$cO;kUp0@kHUDbIRTR)1J8DHoU zt&EiIbheqTl_A9z5$Q)sf#x09tzoy2n)rKrb^MP(6pZ)voE|*8dsa`mjNjn2A>0ln zImI;;JLA<%IDhoz+aGVlxB|N<`-hU+27$d4s*DF1!zIbYHg&OI_sIP74)UAV5t>h5 z;gCt2wnZo(3~Zt{*;8*~CDrdtF41v4@TiO^$ve^1UMnVJIEsd^EhHU*2s`J>jtL`3 z;*CgY=ds_;8!B-j%LU+W{P>?j$r?x~QeT1n6hH}C-0pS<_oM(?7J={{8J8?Kgt*vZcK`ENYr4Q)_`;1yp!uA`>P|7_z@rZU?h`} zWG3=aUmhfO1!ws~Y~6ba4$GVkEp1l)SBxgD{htaU75o7|%r3Rl#WitF?z^f}Dx#b1 z3(OMYooM%b@EN!RQ)h@O^E-w0+&Q|PmL0WXram=keR+X3TcZ2OufhzyzN+073p{UQ zS#2JCNMZSNuR^1;6IzA6E;XBr*n(6(uxG-+;#LX_b=#|fR;(Z}p>W-PkO zH$k~rSO*N3l$5S5BtH-zXlN*17mp-x#P(h;qVnY%;W}c{-=r2|b(9o9DU;&(J3mt# z{J1daSyw-94^L@Y-$>^WLe_{CL)F&XF`LAo5YZ4q^M*`WhY?CUDX5%V)cR{`x>|k# z(0ked#~=MMc6$z%+T57i^$D~((1rdOctjI>Kw+^B!Nf_#^*dJAj1o?uqehx_1<1LG zobe^vp&wSx_HLmZ8TMFX*F46dp6$5AYj-XimgcL~4RgC)MtZ_%t1seKGGp!F_ak@~ z6z-2^?(JI|P7LcLuzqCq-;qH?uKWbq{e?-okaw0Nq4H?_Ivc zFy9w5{@D;}i<;{AFfvvvj={fD9_&u787Na0vIucjCA(|>{M1_Pu73?=ifaA_n=kXp zoskSj;O$?BPh;%;o^+p_;Nxpo_UN{Ys2(%>vuxMdE$lfyH4TW+$*8kKTE1&0!fy8Y zE=rw`JlEM$awR04`qD=NoVU?I6W846-cp8)m|QLD7w*U^_7y<4x#JI0#34wPKS_=i z=P97PA_k?CmEMAiErM^ElwCAee+a~Sx;|^k!ODtF$;(JPYQw~D-xElDXaWgaGZP7O zrQfuhORh;F%`p?Au#-Fc>0jYn3*Se#`2Kd*UAwEj5h=h&9OCRiadPiDipR6?>OabZ zM=B&bC1Zg=4TS&LalzKf?7G@C@bz~c7n-$=oMw3`{PruPz3%nF-q(kw76pxI!t{NF+Lbw%6;d@1}KiLC5B6QO#0ldtbWqbbbvQ=TzpZ13paB3OdfA^|(|n$r=#Q8TNq*z0j@KbWh^#sn>`w zcvxO!7~_ukd8>7K=^yVSc=KXZogU^+h@>f-w=>K>le}^t8>l>^nWLF+h}kLHvq6@EoXPP7!EUvFVwNpImj2n~Lrci9 z>n(YC3%|UDD1I_CxlHheqY@9Crunly5RV1fO%HQ^sr|Afi1V??JCkdM4fV@swslvvv8X1^0hK^@szF7;RW6p&!xypH>Zsyr*o}fP z1^453M#v6H}z7Z&6CqqHGg%l$M$26 z&JIP%Y(Hm>)>MOns=^geWgUz~kNxjHBh)tqA-Ltau(K> z03}?Z{|zZjJ;hkiFc9c)>_6G3;QY5}^8Y3K{@PCG&u;oe0{%Pp$^WUj&OdwUx^vH8 z+f4i!3Q7JCQ2#ai{@Nws&sd)*{{id2V&Ao1e_bE{jCK3X-(vlFwfz5?5C``<-4FqP N$v}?4grc;CeHGC&U>!+ z#EN zNX9afVsHg;;c#ZQ8wA9_9aP6JS}t&K=s17>!NVn|5&$=mU8Us2k+oD#!V$B)T37ySG`Z$`j8F59U|JUd_> zNhK|%V=)wppjRUQed#t+_^9>n|F{|SN&^WM4*maX`&I;@{eSEIx1ZDZUvL%w`=G#W zT?#5VhW~q5l>Z;YDsq`;PTR~lQ&Da-k5YDa5eOK+6k^c)qH2o2?sbJ=*pVgf8upWd zhPP48ecgEP;1$VG4(bN;EpZccB-PV^#drV8!^9? zRC&U4ZN%{=T14 zmCuhI)`{gwO^ehow;1~K`qsmH@NH%EmF2aCON03Y6~c>$MZJdCz@&;@2*5gJN;Izy zJkz6ySW`99{3CVDmwJLKO&T_4Qs8g9eAyc82c~t|tc+9g$_>&5eNL~5@gE|lt*M=c zJp69&(M3c>Mi!C7dcJk7iIvYbP(?%uUVUih7w}v=c?KqO8@SYg+K{O{l=bNmJEY7-gL12Wsuu(P+Ph|%JCQ9 zJ)3Zs8zT#AI$)Z@NzyiBF2yB+s;z83VTEMNHy*n4&G$vIIX%?7$0kPfTciy4R;H40 z+RcX!o98N=EGL>Fa<>QpQ<=5?2Dsa~Uu)A~y~LeZvNFB3E4{wo+;F^K?8FO(JDi6^ zr7r3AMv&>jS|qX?YzOm;4;BMNL;my+n(f!BD8liA<}7ukXm52< z<6SDdD=n*D_M+asDxF%kzyGn|P|^)zU*yi|IwC@y9IN@%mu0UL-x76VqTwWadM;EU(Nl%zHo_{<-|IjXyJR#vhe-LE

6 z6CWBfCEz_*N&oh1cy>~^dxX)SjDX>VR{3ITcB-JEk`i9{)u9rG_nlqL$%$86(EZwj z_Pr9{zzKWE#T94;hm=rj@lV~ntab*a^_pzt>(isPKQSqxl$Qj}cX4qKZ%nx*Sdnw=en?sEqg*vfD=gW%Nt@wudZZOWcnvV7+4!mgVCL8 zPXmPMNgO@zE7LT;hjDYem9DP9pxdVsEl8_8oX2qERX8EfdX}e_!Lq>3`XG1e$O0s; zRGnT!p(*<3M&H?=Kx{a}q9WtN9GhG7WnB?b-g znn41S$;lJ@)7|<7RrgIfNa*SAgiEvDk`+!Su{=XYjQc|HuD-*0GR_YC1pSdCHdA7t zAR$3R$`^b-WfC=&^3U{g z@@z@0oetQs-4(BAq1!R`&G2T?nTgiffpuh##VfLzq_28 zmOWi%b8{S4Rty?V(=1g_#D*?XzfawaJHjZn^5Fx^S5;O2W^uQTJ!dLW`M_xh)o!v~ z{u#?nf)#0QG0j=!qLA7?*_AE+tqm?dPdXf_3-JZ%w5XQnC-+U-DeJd;gh?e@Z2@rp z&kGHjDmRB~j$TNVF>hE2FgCZq?TT#A_BL@T)$#H1(ai$i?O9oCyYlT;8o~}u`UnJ^ zUlP?OGcGFMn(b9d48vS2|88VNM}{44QDp4S;o*olQa;VAMTaGyF7^^mVtPNl;SBf} zyA#yk212E6uN$v+S2MdX2-#nOKp^*%`L38P`g*Gc)f#QPK$vso#-g%Y2?ZwUs0`1t zd01{ovrWW(ozw!75vgECNc(Jh5SRn9w- zF`_&F%!AP4GN-F)`oAktBTzgYTv${zV!>NlR_Cjg&b92BsB(8Vba%o~!b#8EdQWsR zd|xmxJRLldKW%Bf$V%>W$=d&s&*L#Z(GdjNxL|H;-EFjQyQ86_r`O|s$*zk1;;}=L z{Ni#WDoc^pk(;Dsu_79x?_VnAnaJ&m;?R7de^kY%qEe|K zzyIOxXCEpC%4)X4&gpEM(C9aZo$T>~q?;?BgmT>;p{Hy7hXDLZ5wMSK{PPZ~uX2 z>&}zAQqDKIxo7kE1~Yeqd`?xbxenE_NchFt1f2*jy_S~3yKfF;%+(WQK${|agZy5& z1%CIQD^KiiLNGdJXYsK?AfyjeHD2Z+Zu>#=w%e+Hf^eQ6XxUeswdNaWJG-T;X|T4DPNWVR~IKy{}Hs<$Zl*0)V5W$9dh>yS2Ur zEBNu>nsfF^pe$8DRW=#z>mBdKwNi|{I0K{Tdx0GcG@hb zD(g*1mvFv3>9|$x?X5@p4W99heI*df*Ui~KIkv-HdXY+g`b4ypcpvGYW4Y_0zkg;g zyId>bd$0mw*2~U1y*jgUhFTpuBsZ3Z#kXyzzR;$8W_H!PI}BsiQ_g2rFaL{d#|0lM z3@Ir46iO~2^c=MvD{88$RgUBDkCU7}2nsI0M0)AC0tHo?Ki=ahtn72xz*lH8_}pwD zJe^m{Wg0%`ga<%iH+8%o!TKICkHowvVUN@&FNmtDx@o5dVk@A=z)xZmKLFWK8}#k z3g@tGsk%&GvQfs1hsS>YWbwowr!+fY_2OJn$5%X_o&W@OIlOi1jU*HI6NaDfi`I#(QMMa^MgoLTwPBC=VgevijUHogFWHi9^ouN()nlPx+7 z#j|B5Lj}=tBYYq(uKV7e=qeNB*K0<$%Z>4~2A+Sh!F;_ZI+y&xpSrjs{_`=}#G|f< z6F);BO=c+4qU^mIlH*!xpR&oH(vrxZ?*LT5*$S*e_xAF5KJd_F-uWEopCF_Wj_3dO zRF9ftfDRPvw4X|^N^6=#kmf%rt_Ad_ivb8N{@bm$I49E_0874e;E zb_!b<)@lL*GcY5Gic&Xb$x>Mo-C>iY1iQQWTf zF4>uG^zqWmz8fpHD-E$dG6`ox)&BYZE3FR)Tlma_y!onh<9nCRZ~o^xVVKD{v_qko z5fY;6*Oi=${gWHv#yOmli0%FG~rB<`f(B!1>qu!2(I2ZNh2cz zHo*c#=3?WLn50COa`AkV|FigD#N-~8kPvx#a&WFhX#-d$cX)f$R@(X|8_`U_yyWqB9vc%!6~=!@zpE*4)=dp3ug+!Pef> zy@w}*`l5~cV%7Lc`mgSh2m!WIxCG?^m#u9zyXq)rv7yEOvCKVq*xbca`%MVe-`NIm zaMrlxF45cRl4cna-XKywP$ht0f-wup_C0rhb>tk+58g+l{5V+|%~cusmroIqKG<0k zT3R<)*?Xd6?tSfAqdIC2peb(xm^wc!QRreEc2ed380@v^e^fXEh6SHK)x5Zh9HaR+ zbZ+H|BeZ*g)2gb@_c3YXf%6o*dGwKFw7+N1Rar?%*v~IO2D0bKT`_O#_H=l?pBc(o_T2wecUqw+=JdI;`o&6LRC7itKE*{7FdP09dN*mv$99yh+}`s=|Hl$ zcJ~N~5I!p!zC*?$&1-H>Jr6~jz#=Jukkt10P50Syx}1&~(b3UY&f9LL{Shry&z{}0 zPMmMG!I!MA2GE`{Y(!79loQ~8|7L2LnacZpec!%n);`!FAg?sr#KwBz3}~thnz;+{YJk zj9kNv4*F?n;a^C44;)&$#!GAgEUMM!ghLZs*x{C#kWgvauPnc{qoQVJ-Z!ELAT>a` zS=94O*OOF$ZB{q@MX2lR#y|>53>}b`prP(ZmHS7@(`D5*t5I0D=VXBi%W?Ky{$Dhyyx5nZ`jnE+wH<-_%n0wpa= z#dY;*#R#wu8@BgOW?YUd6@Hv342*zn7hA!IqV`3fXYk zZo&}Jo!E%>h)C6T?1s_fv+L%{!zwiyOi2W!ou$$6ir+FbGxvs*C|Qt;@VOcu)ZqeC zjEaU~2)t;mLp)c_-*gQ`3;fA{ICk~mO$PiK=C+=WmGu9N7xw!+8LVcImd%@nou2<} zBWA7@mWbJ>3mSl-H(yVn57j@ayYezVd%^_dQ@I1m%dsI)pGm|WExRHBDQt!yq96Fo zlq--6dcZK@(tIvo|5c9CJhsa%r>tr8I5L;(*3>q7tDG+IfQ-s?e{DICS!$ek|9VlA7uL~Y+J&fN!du_=5h2~+O#^e48{!8cA5Fw#q;*;jS zM}HsOlxb;KS6roNJr)9ttFk5_Zvy)1`IEm;R#sL_#R%Brs>gpyK6)bU9Ja)Z#`3OJ z2%t^OcZi6W`{RMwE1ozJ5_zV7#YjK^#u7IZZ&0ZcMscD*$79UWk;PygACDmv`O@;{ zU!GBQ69O}LhQ<{GI;L1Z#y^bNsV8PO;-7%_-T8Gg=zgF4_+s$~8-ND2!DQG9%$E|`ra%7qrN0+H zuKx(&OfqKFJ*si>Ys9a9bnFHze+&eAkzgU`4WNadD;U*kpPrs4$o@O+K*#QrZ!f=o z|2sstS$EQP0s-xT8*`~Ug zjtSyCNzZq?*}oigzqdv8uFMh;gs+G>Pw#dN;2ir%A*{B$inwUsO(S1vh@?zS)2q_O z?_NsC(oBjcj$7Aqle(9vhsi)%wpQt8$Ta&ITWHp8PL206uSl2%XfjO{-iUZP)vwaZ zMA>#rp7fWte1Jb)o+*bPferUi^@JN4&WstqpF%XR{R z5-9|G%rXn=fAbyx78Vyr1ms4a+YaO*pVJPcXFJXmtybHgriR2EK9S@?`rfU|#Zv{- z)1(x1@o2RYDv!_7Z;2uruU+JZcLu5jHR$4NN%^I7-$Y0RDi_*L-Pug-Rx-_}JKs2C ziILau-cUa?*`7BJo3m+`)+t4ZRL|=+J(roXY8bIJN_D)AFlK3V+7~-EOL^MkS`OFG ze}OoKzr(h@wMK~dO|U)j!Ook-)6G(*-n#1V)|tW;65@ zPW!B^su;h=`-yS`gJ29Y*+eEiZQDif>%%QDXr*V(Q9b>6dY|{%jTbz3Z*^xZ8_W0VZ;kXgD*VMj89 zHDknC=e%y>!#gan^v=Cs(ncR35xXI@_YFij4OT7Q+B~p56s}CxrVCZv3)iK|ZvQz# z)?pZB;6#>mrvFF>F|GtqM|+=WSpWLFwkd=fsIQ-X^mjR{9@EVvZm$W|SV2TVGNLSA zf^n<3qpDO?o;HJ`7Vn$0>Ddb&w|(3|BuugV$+KyV3#}SUR+aL$w4fmPn0XQ1ZCx@r zbsKiul~%A`le?ZPp@IUo{cra!YQ(R^F2*|kj&GSu@0fqu9}_fxyS0ab7)BO)vIY7k z291n0)ajV*Zoif33+tZf_{LsMBtIkkQoTrVfcOtGYZc-{dsp6uBE4vj5I{XyYKUE5 zkI4g>5@JwMVK~m?@aWXpb=YzmOgQjEeHDwR0dD;I)vEtI^0uxw&NkeFhTmpN)!8_5 zwz8!*$LOahvRsXFZdn=}iR{jv5eZ2 zq@bbI2(IOVM+kuXl%D<8e}2%_PhewXo3F5Q0gobG$-Vnjg>HpBF&EQVdhPOEq~jF6 z3n-RQQc}jsE!IulLC1oGgTCweDL}dECqNn)! zP3*Z2!Pdi&AhpJy`B}SqUvnPO`TcB56O3vT50Q!`iXU0DZz`2L$#r&inXhqDacc)n znqMC+hCuD}Ek?;?Tm0yx<73kO^}ADf(pf#vskVIuA>tE8m71O#!(8K*HT6xzV|%W< zuDq9CzDNE*$wEy{?f3BZ#S?5>=j3$1xZH6%g3IY0C*Db#fD%Asr}IzRfU}B~*xa!1 z$jw3!uBxuCsH+>E`})WR&<}ike3(BP9^T&<0OBv{cjceM<~^!f0h4=Tx#}CPyz|YT zY(mcuY!1z5NW@(BIo<%7uI0TxUK|Z7F3+nN7+MW_S))zro>D6BR}J8!NiR*y_)#K%{LMV;?{~}!_Cy@NY+`dn z)oQS>Tf9FKCI9GKbjWPGh-AC*b`i=y9Pc}YRvd%kBmV-({nYF<%^RwCPMqR0y+~~> zC`S{64bNoaayJO4)kt@zvNWb_gbdt}-v@23dvxmskx8cdlU!i?bumjOp0544(J@Ua zB+Pwq@#7t=+cBx06>#uB?IUwrB*jB!TY+|6i3a|DMgCRd=-%7EsjGkB=+382Fe=fa z`_-=RHsewD2RZ)R<22sE;yAS6o9nGnF0oGqDUejLZnM3)vkjk%VMtEiah^gtcQ7`2 zWVwS|3LqK(2KN4^gEsQY{n_aypGnuwt}g1ypnBQb_FFMDTTWuR#Hg|Vcmc>Lq#v5n zpY66j(h8U&5{iHIh26LeGD0#{3yW6Jc#FGkk02}CA7brtqobmst&}Kb+d+!%G0g0R>bGp&Kl@FMH+my5NO^I&xF8b9 z{-an7mnY;303mE+&>EOy&9({b7paka`}VEeJv4;eXZ5`1aG@n-l+AC|)PoQXUJ^Q9 z#33f(ZZueCH^lcOgvP6$59xZ%{EhzwDp2)YEW;J#yC==>9Dqj|x@?zouvLch7*$w_ zuJ$wmkMiGN8>I-`G>^mIyOVw~Zd0;zSxuD(keAT$eRMg*cewP0y_xk&A-;g*-c@i| zTA!aBEIy>o?>Af&9G*b)ZdONrg5&NcMZ&Sp!ux}n1Gn3|yDIl1?dDZs|_Bo zsx1#mNkDBpTjxyxV|bpgZ*C2 z4GxCclr;p`cT=IIdm=4DLD`W}789kA%<(;?Z~F%UZ(>vZCEIG0(f|Tx@}tPX0;=*a*=^igOda+?fFo0n`uStS!(hO4dXp? zTgH836asMm$GeEZ9i-`oHsBTQB06qQ_E@kE=*{ZZ3=RD1J`Bf9j?}fr8Z0D48oJ;j ztdpDFVtKHUwZBYyw5t^Nyj5-){2>z!yDu6ncenC{EvNjZ=oo7B*t;Uft37D2k-oMs zK6^e>2JtL9iH*~i_OylKP`$)7bj_c!LvG7I$mEodqN2>?F@!8Sx!l(9==U=l}8( zSlA9YstTp!Us~wO^UQmwdn zc;{`1=vqzgq|nA5=Zy%i_2XlG3qr8Gl!iLp?is0$*rO~W%i$uk@WzW0|m>wA3;GBr7qk@)U>2LyO^!q3wl~AuLyA^?WJhm<{2Yk zfOYI9C9*5!Pq{cau9_h}%ys5gh?%Ea4f&~M`YB- z&W28=67qzcd_DRVT<@(2$?Enulya1u>)(Ztzlbv2_nrCnp#)bQw^k3XJ`ck;{^4!X z_oShDmJqAQJiQ4~`uu106U_*y(T8%XsP`&L#m8MKZLL4E5qHE%I9+LF5}7FHpy1R? zS07Q4vFT<^+gk09+d;fX$Ox?{EaAOmW>A$AY{Ai|6DX3Ps){39-!`?0K<|AL>hvvYrcKm0o?s-R!L zu)2GDCm;&CVJpn^xD_rtk;$%`(Xp{Qv5{CoK`&=>vi*yfAo%!+zp8xsczAf?5;Zc^ zi~rX9-t(tEJ;Jioi~YI!wk|uI{7>{kkuWn4qx(+vPbtl!1hCK$)5x1O*c9N}(p_s} zx_^lsA3Ncf+xdfwJImIgdM8SzOzS)S=m$eRi$oaKFUYa{(~JGmy4}L^jX?{Z^k)%x zi_38xq}DIm^RW;5qOP|aGldUFnaZneCH)2ZPcY050^aDuu2Dd3Ndsr!=*U_)o9Fj_ zH?4C=jVuhVYLYMe*CTg%-Rf8T))QhUAO~U2wpFy``Kq;y)ru}?ygM8lk6pTkIMnYn z!rS4&J^9^X)#Y!UU8k3e7uq)QrX)o7shpH`&B}Fvo?8iPi`@2A#}D|_w4a6&rfG!k|49$GVsIRs6Pd( z69JcON@#xm2az9xf!b9WOlHJw$+nf6w`YCig(W4X<5}Xe$?T|Ih}%a+e)~%jsvZQz zGY;Leqlv$;NVNr8aydj5y}Z2Mkdci9yg>dk`X|R5B7?rFU8f!Hf{XJLJ&>Gv?6g zXIPY~mn11Y!)tF4j;XJ&mq1oZmHz;^UUKsDaR>>2Di@kg6^xf?*T$f3^sQ~rG`e(s z$rpwl3|R@?Inj_xNlE!AUT`{yiM?D~TXQ{}d)=aMa8eN_=j(Foyz1bzYFB!Up~g!g znk&3j+%pXR3T#w#^UYcf3|^3CUJiSvEE+WxE^DumTxklz@WwM4feW;|Om zTe*&l(eKQzkU#W%A|@U?7A6k`f)$Y9W#3Je}pU(XEy%n_~?A5mLd1~&7d&!y^Yeh(hz zg6AlJ&hweohADI`Qc>+YofB0;fE)QShv3Z)9`TIVPNuBA*O@Ouws*AawB{j}^ndC>8gx@|eLXAe-Z z%37lqnm`Um7PI=^j2pd?R+XM=Y;2Q2D?*@^AU&xt$KIU3Ixh{I{h?-OmyWsLN!7Wt zda3qsmU!3~1qI~K#nbDqyp`IewDHox7=)d_S+k|2N!)wL6RQh(UE3eYN0qK`apDA7 za0ZJE&O8p}08dKY{#|BEPIhhcUyxw-Jis{UKv~TmtAW%e8>eBKl4GWL`Z@R|rn&Dj zl0Mnx8wz_0#wzf`8}#xKq3(z-zF%{LiESf1?L$&Vx?Jo9%PZ8~9%qlwR~vitR?WB5 zMtK-mRQ!MDN?afAVjpD{_S-}J4}TT~7;gRH7SeAhrXL;U>lU&<7|2{gTYlyV6AC{7 z+SU*Bk`g|+&CrB{kzUks%7hGO8qR)nIQF^L5%jv|#qhE#&dTdJ)xJEw-D2|lqK+;ZH zpWy+^>2Fq(-MO=gg&p9)QPI%6CSHtS)TukYOix?e?~f(m@*`Przg5h8wVo=7puw?T z!z+OH9YKvUy+{cV!!V~m@E7-dgWB8R6P}wcdBiA~Id-Z_|LTYh@eY@8n_|EDz zh5g-W-@ktcmL)VSEQ!MgFG}d?7V$zMSKa0P$;(L^h0TrfHk00|zX9HI!rj2{?rks) zrqx8{^J?JDm?b;Mh4J3_!PUd*BZwb%_{`xYfE5CciFqH44I1YEApISUnoe3;`pXxB z-ahgcJ(XVVVD8kmc$6qVCNTc32ow9u%e~d( z!f(d%Zxak_=UMmN00Z5t&67Zh>@vm^UT`E(9C784pZ88kDq?^b4exoy(Ydv2*P zJ4%s|o~{7Ma$}ZpnxX#hVVb;Fu!}$R$}w{*fn)Mysr+kQ1<{oK!^61%4Q)8U%X*6e z+q1y4^-IE(Y}<)1o-woyL}mdJQAa5RDu1%vLdfGxrNDni1!zh=mh5S6CnxVHDFZh* zO_fztq!bkT_FUh*di7O0x6`yJr)WUd($exsAZ>E*rMR19LL=CXv!S8k?2o~7C zS2ScFR*;&ii$%u&Cz-=0NyuNY33Rf(?0u36Do(8Dl4J8 ziWjF|^72^7*kp#o$yY<^&jLW7Euc>xhuV#Xj*zU#Z^!~A$_Xed9+JTTQqhs1A<+i zYeQpCU9@w-%h;Zdbo(DvblrbSDMb}I&yVcCBRn~q;0x-<^yEGE45Kxtfw9ymS5(0~ zAH!iE+yRq>qN26Yb6Sn zX0dEho6~kaS_Ct9?c%NegJ-`$$`I^Wb{~)Goij`n6vOk)ep7SP3d0T&z)U!3kaic# z-X3JRqx%MKW)Jglxg}+zp${IAi$srH?$LbRZOZS{x9Qk~n4cQ%Aw;Cv5)qxM^PKR8 zrOFXKMjNp&4m_xh=+=&(hR84AR!EiyB9u^rnqae9%dZHw?j=sO<}ce{V6(Vv%a*m? zTl+nn^)1M6REMYu2;f%;m*;0?kpNXh`&KUmgQw=yCWrbwDbXxyhsA-4HBsg}5ZAKD zQ|i0o*%B%DfQXf%n6&ksjik=x`x7mvO+ATgQNAbAHQ7ZO~p9__<6nWv1 z(QxM~zfMSbC~|$e0KF~>6EC-KK#EC8S@abBjE|cbo92sFJRI4U&iv%pipx7V2cku9 z(GQ7g!c)jjZuNx$&c=eGqN1ahuG}Pmt8{$>xq^}UyYLI-y4_dV6INq_d@c(LeyQn^ zH8n4m9J??-U+KsJP{(+_%Iq%z0f4*9tR6`3)Xqs`1ismEO#uj;+uyJ)fTBOU_L1ib zz)0ip?~X4|RINGC@t>x0;3_&T#gy2vv-*#}`tmMm6ea0#5QFtYCQ3{pF64ZEz8H`+ zNHqJsx@ytB&%K=)9`#$!<|Xax;c{NmdNjnJKYzL&FC~o%J*T4L(7Ylg_0>OxWMzFr zM8^XxOTT$s%#TR6cCg$|tfh0S-0h_SOWClxcuh-Oc*?Vy1y4$syqA_BDb$ChD8*m@ z6G23GubA`spV-Uen4Y>LUnK&}0($vMdv9uN&_G|x&e_N9UsL~vb$Yz32kD+ zw*tyzFv$AZf0i<;#?wm>W{cI}*-*Rl?E{(rn+OQk$SY>qc)D;fA4IBgU~q76u96Z+ zjV4EKTbuo{C3;N>&?b<}{-zYb(~$z7|v zf!-9WTKo9w`ahMG6tn|QY~bS_#~m=}ya(Ul)$7+CLrH8lH`KJWKak11emwTMj4^2j zbPf{B(xeW!ELYgAMeEVO9$OVb@rn;nOhy{QH=RXiE37mfuHf$OeSqPqf z86^ISgmBS%ivlR&k^A$f)YPy8G6Fn}Tr(OMmx-Ma!e>`iDxk{zMOxN$eBI!7GgjfZ z01PM1Yk2)3y~?Ny$-eE;y{y6Olb@ek$XetN6iUE3fP_i(=izRJ#rxV8sDE-n zJw(IE6C3Zwb9Okf2>gVFKg^BW3=T51A~0V1Um(@pqwM|`Ib_cE>;dei26W6x(s zOX-h7mVGMGRKZkPN>=r}>(+6hb#nPBd0Ud|E^Mk0=CHxy9VrccW4^+I=Ejpby>e$O zULxpqkyLr{#JKKra&_`s??YEI7Sfg!d>}UdmNgHR86~&N@=9i6A*dm6WdxDusurOf zzmW_W`BOUj*xjTMNyC}7MZWINox1cGMgGo%;3<=})@tun%Ae#Z1C+ZJyyI4T!(tNr zTlgmKLcRTd?t00^f** z+mE=UL)*E!#AN|*7FR=|C1^M|7;CC%9}Aqd?yT&7Bx&Q=wdM-Q50#5YKG*XWfD;C= ztfFFJ(a_SOg!M>!dU}Qp3y&}JVfvMWd5&^%wv6|Fa~!nkx(p{#96dfhHe8JG5q>g7 zDQ|n?b=e+T12VpVgKt^d3y-M+r8zJb!i|HtRXNiKKOXxaNeLG7A%gQkbkzRSj$u^+ z1E+Q;>@06dHtQ)`x}mo($<98jvE7tEvw|934>}8!vNao=&8jEj?O0CRN{kT#MeFzC zbqE$MNO(3^3u?0Ilq+qg_3`{3De}>jQAjQn;)p2W;R8w*b^dGyCHOY&i#S|Mh>1A@ zA^HpoT8U0e8@WB(0Dyk2!($o1h=C9~R&6ngUY4CprU-l$Fa+jH+?&h?Y(bvhR}9}| zCJ1pBTHKRx@|5kh1X7kl-(Ol|l4CXn-hMqbx0{{q3u$SBM7J_V9VG=kY}_HAqRE>B zyc!5(Pj~SMQP1&g+{gY8YvZ7W2f>Y88QrHPR$29Ot-q<)k|w{K&vif~A>|Fq0;Jz@B4 zW&?2xHu=hhIe?8@>!fYejSD)ojC>4y@rm8ONK7)4e4SO@6W9iq0wOo;o?|7MuS<&% z8txNnCs$}Qyc7)ZxW8LFK9IE4baZ3U)S7%=DwhXiLvs+LvXU_MzByX=Be2E{g zkM@o`6I%s?LWJdv6;|lqlghOv@OoStp!2BC1pE@D9J4GYhf^u6W%%;ZVy$j^XSTEm zjczb>Z(eJ(S>xm{wN0&!!z^s5Zy+AC8u2gSZmWN3XUUS$&Rj!pU7Cjc{D?j}ygPad zuf&-AA*$sGNBll5NbItZ4Kq+Xn=%JR(5m?;#0%nXpA%+%NCQevuK|G!0^zcmEu-e( zhz|-1T1ey~IsmYPEwnKn@FF#WPUOwZsQvu>P$(9J5^GY2)o8q~4yA;R;QP)$otzehj}O0=<0wwO z{Ko7PyH+wuSUPPD*ypIsbkM9zgPC5x#>GplF!QE+0lR7~L0jK@be?)?HCv*kvRFS4 zu&bl78B9zkXtpG_AM6OidmsSa^W*(;*cfQhWwr1<#9t#0jm`1;o|HCq>nmpbM5OeN zSpLb^Ve9N>cEa3NP+0S8Tesi+w2P6Dd}crU0977oT2Nf3D72sN{qA^;3PfoU& z>e$N}&=UCyqBV=pHBCIpi}hws%s;w(HP#i3NeR0}#e1kq&JlJJ16`ZIX53WO(>z6# z@+a9Iw--^d&MnSDYjcie@(s!@?OU#97LehF%-MjVWh%dC%&%XH*Cz@ltL;H}AJdO2 zCs%KllP2|fm4L66$o)>?^NNai2N!KQ0W+P)eqp}HQSI!Hs3_o5kJgbdNQ|t=jw|(o zmZ}zd=PjAuH~Vl#%AG8{7HJ&i>d3nbwY=PKS3Zzsk7(|I1RA;8a4vGXIu>6mVUT|M zZG{b$PkuuA9{H(t^bz%?)LCm zgBG{Gsfo>`7h|SWr_ba>uvd6T_`cyLJ5h((d?Zzi&*!FR8>v-Q;dxIAEes9*c5{O# z2j3p~U5g{Ko)7HYB|maWS+`RU1nmL5r~_HgjJes~uhz*q-Rqwg9l6itIjvpED4!0`Y)6wEbI;%$ob#!_a5_hDllUtBe3)Jjjrp?8!VG%~3 z+x*-FiwZ3X#gefpRcaHfVy{|vol~*rRPkE z7i`fvAsYkAqp|t&F|jG$`tRTeeW7-;714> z=GtI&qg#`pOimtW2I|hfG;+>Y@#wLIG3)D{=zhhM`@64}0?yBSqihXX2)NxJe%tVo zPZ3QKVbaBi0um4)JoTGI38;Gus?p*y=rzUxni_$>zrWz)rOLA3vu|sg8Cs;qiU=Vg zraRvps#k2@f4l&srOvWoZd5{+&|mP`inO0iiV;)@&F=F52qp{%lpcKKkCrqvgp(lm zS3OQ65NKho_ja@#)W$d_bKM_@s12?~k~V2pI8vaZqROVRpEbjxfI_6fX{*I~&dRx(8;Z=YZ% zguJe_DrF6gN)GgQ_xH|=rI0G`8+-NgrwEEbWWeU9>!rKwd*T}m6eIZbh8oV#&w-NG z3v8jw44J6G9`35@kIyg{%b1wc)GG>VM8vq~D+fyn3`w=oUzxdVZv;&bzz4UwN zFIw|mesQtB7H%u{0zSm}85TxKLlX>;#%hlb zt&0kKs|4_b3CmV8>em+`UCZzJKweEc3b`s~kcmYI&gfNBuzwDNspuK%leY)@^XRf? zh@_gi|AWiPWy~`1uUFbA)Sq9)(UIo-48_bXEG}c(&pOan*LW`$Jbb>fxCKl0tVFcd12L+f?<>R=GmYP}GyroA>M%6ypQxq!@IQz;%b+a3Xp7Sz zQqo=0-JKF5DM(0*ba%ZpNSA<=bST~3Al==Kba%%+{^#DgGkjuz8Q^)&*?aA^e%qOr z+ZoCozWZCZlQg!FbS)i{85e^U8bLru*BIl&*)qE5-%5?l*}?-Mbbs=(JOTWdryyr$ z4xUAeu{9szq)Byux}E*Rrjv$X4Slbg74BH7@n3Ry|0XA(+m;98+BC6RR!gW^86zX3 z9BV8R5(Oux&g2(%cJ?l=@4uXQusQ<=5OH7IA1&CAE;TR>E{Q4Xyb?yC?+c@C$Qv*b zkEJM*Sz{e-8GLM*#h9@sdGn@o{&sK$S3iyr*0&=r4VRdBC@PHPN4+riuV~TJ`oxvi zEK3$#DDOS8vMKkrjdsf+8LyztdPe0?3mX>38`AI;Ey;|jbkE0i+T!H8guN4myz)v6 zsQAo3x!e@UlqP8ebrV8O2fO1|xS}^gH)(zEcWPtk#ipA1YDrc4ioTt}PGht1i8tjK zo5?ZUU5)xsZ?uYzcwcG!TwgQK!h;B^h1 zm*pj}{W>YickS85wz?`6!L);;IG06t-sg1egb?caROo@iVr{jjef7etw@75>W<-#v z?9J!T&JkDdMY^c@Z_OS8oY2Vz_Tu&5OjN*jv@}lFnHvXsu{ICd3W`YJ9*K;P9wm&N z_rpn+AeX?S>08ozqy~ILMGz>R%qhAYdIc`Ji3t_O43WrXn;ZW>VN5xtXjU^H@nEb= z5$qy2cXq0r_GW?M=zWi#k8p3DjntM?C1hUZUGr$0KUKfTl>%JW&xFbfe)ZF(y7F>g zL)xgcn+JjF=rYdL+joz!{uXzp@y$=yiztL#A!}=2P6Y0*X7#H~h6!hiH6=#Vg~4b| z=y5F|_wukm=Vnw2vc?($i}XMI*lk zDhR{bQm4{-%ITdaOd=w=ckeofWVnsLdM>j@q8<;pqx({=-}G3LhuyYZE6F10No_q{ zAn8;TcMPVAzC;}4Ww5n9#^O1t(NY_DZWh9JuiQgd&0t+5`d|*fsOjy*-;f|g85yI4!~I>^K4-irCEe{i z=1dBKt_?+YU{wq)TF6@5dx-suAfimmi75g#B4@TM+lQma@+NE=w2s;{C8+gQ#vPHdLM0^U6a`fq9mV3_T%S0WxZUoaCTqBegjrtdK zt@y~_q;L?&H`+gp;bTaf)mheqw72K=(3E;C`WIXO96= zt|8Zq?%N|5LEx?a`ttk)3@whJfYqyJ_0VJ)G;XMN=-5>>*W}O6FU&YwbNHmA1Hz>_ znyp_6x)D<)FIA_r;xVz|2h9Wj2qT04WAEx{1PBmRkhv;6=oRxfBPA0p#%9aS%HQb}z3nQ^*GUtm0tpPS_X78< zhUWTKn!Seh*hlJQXMEdM?8KaL*|L3Iy;7lV(~!5!yb1{4@g5zR-A^q_|snQeiyJu011qWipp-$ zcky<6j5i{btkX2ZD`?)zq}>VdH70Th0@d!!Pe)G%e6TG}4#P`@fUAGZuE8a9! z(+5@fHxQyRk{m}-p511J6h&N<{x-GsXeCHQ{rWMVBKmvz5noYT*6pECo5kVi6CDG? z_L1w#dioy+Cl(?EBNZnE@281w*%YjJt>I94MAjcgc5*hUgnp#_d7p2l9aI@`T0CBC zjr0%YmGW#)R(6I|9xpFg@kNZv@%WfUyne>7mKw}NXdk4dcpdVc?euvQv68Nn;rMiHK%nT~SRt-oWK7N} zak^Dj`XkFcbLar%e=y5;p5w=sI*VdNr>@@ltexX4;_-xzd}(^ncv63L?D4tAl{Ktb zXYBXPYwao_)&OOtOdqkgBA)c*nUe=dr-DBH*lFt8EiQM8qp`7=q@JhZph)cLkE7k2 z`1RW7@h_P&HoP)6sCSxQo*xc@gCCf)mRRs0E$Lv63+&~+1Ha^wdAtNlI}w$sk_)hC z&zDwT^h}GpdV4>qX|7~HF%CLh$-PUU66lsv*;l7HfO(Vi6dEZ*&Q9TXgoq}=XbZuF z^IKXHLO}?aCG8&?5->!c{h2dOh^ndizU2f9OK_sW(ex4`+|c0eTzSE&82xA=EcEM@ zVycxEk9$-7X93q^m6G?~RhLksG-Icyb`X8BYtzW#nO}~k*i!$Jx6to=PWUWs+H~@7 z3-t-H_S&ISS6_TrEnhB8=ELmmlfV-TE(Y@Hf!z}35#Y>0LK5?_)NSg%+|_U2`g6Z8 zDr~K;4*75ig)wB}G^@~AvFZ4z=l%+%^@i*^k@(AVLh#+zR2dyzz7)5Hly3K-4QFyH z+n#(wm1EzfA}<@8#hTQ?>C*L%yZO%GH^O)3C$mx3d9`OFt*)A_6 z$}G$%oo?e8Z`MGO_`Q+p27C!SS_*vI+V%$$c89fPkhOcgZZ;zM;m20vYL2y_nP-B7nf~SPp$C# z4~rSDztePWj7DCHapHfR&-m0%5$fAr+={^65@;JU!6P7WeC@Gum z>Yi1^C2jID*$Y)P^lRmGo7QoA(@nhg6%~BM%yLgcG(5?!h^swhKF{Au0yUQ|J3W{P zUf7-lqSm)lY^AZKNFlovt#d75sj0-(TFlhc)SgXN%p?L`j?2{tc1_Fw8iaV(b@zo&%3Y+Y@h5+F$s!L2 zEVM`%#4o2-oXP&|t_Bu{E$1r?qt}v@EQ!n5;RlYq)kExzQ~Q_`7b1)C27dfgP9e0! zI5`4EW8@F@9~1pPVsXUruipTC8IV!)s~G`oZKITdiqk_d+n2YU3VG_D5d#B5GNbj$ zj0{hrC&milTH#vLWch^O?6i$^$edC9$Hks~tNaRhGr&tUKR*u+Y&Y|LFHP9nf;QoP zFgUyW*M18_oKlibCI<)KNX2}+b(@&*kw7bee`erjg|DH*G@Ec>>y8Aq#BX}!n;6s7mZ zZq%aNk9|uNjt$0Z%y`^NUboNwkPK?LyiD90)gKz?W9j!?bL{klHagiGRqvm>S+#vk z!1exDqdvg*vE`BNnd17#=J#DP#iaE=E`o!VL+fwf&ovm*;)VyBKT|MW4AQD$^<@?^ zTt*AYM|ArecEC(k%BNGUv;7>~y&}ejs}$^FUvnZ5ygA;=W7d}0TTTH^#_D8+S9lX> zri&U3YEP(YHgzc=QU0g%otMi$YgwaInxL6sjV3!GiWwK_qL6hj+WGkff$)X}mlku= z&GE11?2YVWpIaFk4YYcgs`;T6uZPc7Hp0Amyr?DW;lRe5P5x0;=P-_GO@y9Q?8cM) z)cCZ{U=L3)o%KdUsiDMIu+%NQbRBl?>fV{y(;8YcJdu~H9QyX6oLZ>%K_X-K;{*R2 zIB59j7s@`2)Pf#QSt<8oA~@(8oWnphY#bbr^gM{Siouybu$|E>!b+M(+FD^oXhRVcL-A|oW zYa{m;^s<-fZ8pmSQN;Z3EG!t_mXgjKdN+JLQ)}a*0V#r43(aq?G*Kw;lk0Mhi7La= z3$I%+vmdHJD6N-}OtkGY@W-^Ych&Q3)M%#vE2)<$V@yk#lr6H=xpT*O8lBzeCVk8k%3uM;-e4uW0h=X}tz>CWd2qtCVy_5URza-v1TCig%Fhje{b--nu+IkN5f zs!ZtR@d8Y&SN42JY&>2c(UgKS5tWaxMtrsEWoAki6K*{lhT?ryG?0qAf%M(!^L)Xd z;Z>XK_e;0nY5UOUz=yn@#P!jyX0;^mQ=X&%jn16^`oYjA{gdxk&+_9)LEftIw!Oq- zujb=l)#NWpDn#V5WAldT1W_)E$F#I5#+;AkODJRGA>omA724q%aQviq+<|gvnZf#K z@bMuBmpjCtF!8HYkM0hNj~W$PIMIB;_qEwPsf9r^E)KWTFRk|`!qDCb46;hf?)RJg zW%di!e9Oqv0e+KtN^yE5D5a>+popvMhFYs7I->!})AGo_T%SW)Y23iNm-a0d@CbYgG#4bg0aX9a;8| zF(w#Smj7r*wR+sQR{Lh0nOG7h$$d*6_qp+Vvc^cRMwwou$u+XS7-$~NR$GMuR4&5X znJce2@Ak_D;u2)5q(s)k3JEj$HmYx?&SgB$$5x$1TcWA!OW#sgdr0`}6)-lg&9mOw zFABy2Mir$S(Js9--0q{VY~)YXfi9I@ssZV73^+N?5cw?}K6t-)EoNFB7D{a1xYA_b zT)KbBE7Vn=(IlQq`m6$nwJ{1bVgc2is#k`+m^hk=G-cTnacRrn(>%v5y}_&eFFsgjwkBO52 zNXz9y-nOKt$Nc>KO9Ir(JFztp5d@!|RP-Cqob_nS-3-@NAJHA781G+KJp~_#y1w5M z-HBWn26ZVRW}Xa|DZAI7wQB4+bzPGNWqKZBk?7PweNmbzjmTBwHCFJ_f>^aHAO6uv6TGS8*bgX$1L`tI|z=mai zshS7q7eEm_c?ruNtC{)DR=3!FYj3DU{D=bw{UO1=A=Pxg(gFrH;A4?6wLbO_$PU+b z^c`ex!i?)jVqz7z*#9|}K$M7NzyxFtjISOwVIfl=wbj+tV}W&`D-`R_`GUqKg)^~y z>?0VC%r`nS5)cr8P${mt?gxjrgB)Q@8PI-39r{uU^NG%>MUAedh$#B94WEgcw(rj4 zUzTt$^OGf5Hj9ijzXF9oPye_t<}ES5!#ChWd)By=3-IfZ9(d1n-Jqfwni>}XvFR+z z$W%A<-ab(s`WeYUwHdnyovHXiJZ)o9YpIgbv2vfvN67;>V4$7W5Omt?}xm|RB6h5kX!n9?|NKV6>kk|PN zLf9Q+^HmJdqJELnMn)XMsCYQl=X>BKs&o9UU}f(@%dOW1iXg z2VR<(vJg?N1BtTG=>%`{St32&GD4QPH2=6r>3*6fEqHXWj3Y|(+>%^@}9bB?ScLkSCT^3?RT4+DN z`emCb3+EhYg_L1wRaI=Shf8X&1I3NtBKif^9Q6n=h>R#KWU%59RLq;qbB3r;Hl6iP zyJ8fU9Xz5&?Dq9a?68?$z<3V|w%<+(^xi(xZ*Ud|mEytqf!spee3j|nlM^5Do6)|$ zFB7@_W;I%^I`=qFD)(P2J_A-Bpz?sgb92*eN@YD`n7>@YKXWuF`kW71o9v+K3A_~~ ztfyiPT*ardcu+G7zY>|WDbgJ?VRuJno_E@)Q8eJdDFK3mv%}We76;rmyw~v8EMRn5yuJnfFkZd&sUgxOWYOvYR7yx zYCywS;_s0;7J2Q`)T_RWX)4Wt_GL220Z2bnf>s@O`$68kY{Jfv07pf`6Id9S+sS&- zvvnmhhu$&4pTbHw#ro)CUHQr~JVac6jT)*Q@jeshy7u&jz0ov+f+>|l3V0;}0O{C6 z^v?$b{4c8Yy8oP^%(zB*SU0sL82Eh{nl#$Ke%HzUv96(9JX$)kI}PcVteBUVy4TwX zLSO%BN%MGI=>r`b7mGjk^62y|9bkInB4tc%AfQ`ya^TVgWTq>#?Hdxl_SSvf1z=^k zl#$KWc8+Wr7?1@$J{8s64o@OElH|9**?t?;tb9hUB734>=m#=2ox#)D?uSHyJMOcd~s2nx#dPrGdXnLLUHt5Oj}m{i-{^ z=)z`A3!lz!Zg$96TgUdFSdjp!_k*O{CjY4Y90_3jrQSTi!1#L}OI@9;W+du+CtEMp zo`Ei`3IsWy&lB6&tmfFj@5RG|n>g4F{4Kp8qw(>f=ndQ(z&;c_Y3>B3S@19u3%W#f zlX=N#X%TXoj^qL-IQV=}C>Cw)kef?G^IEA&+Hz4J>GvBaP58>e;nE1EM%R$6@@niB zt-y6mczGNt8V-(FSVXk%89vCLdf`YtzpM}t$>*He;OdsIdZ2-wX_n=457mKVEL)9@M)j4xj+jqK|57)0-Ru zPoF*!uzi67M)mNUDgggr-z{YHnqb8vBm>3wtx9ex@keY{HAji;6oJ4@o8_PCFLm%& zX0L#R4qw$O3M+tqyCK_}QJL<&ZuXt+1mCaf%v zt6}E1LZ!jPPeNlmqD5~M9|f-;FN@DYwpKm?!;{{*E&2~UmbQWe4t9ygSj)>H>K+Cu z^p=U_R;6=tN*gSLGz@za`|FW!@SQxLCx#!-0=JBgS!o*&%4w8IXG3IjI*%%O1!Wmj zKY7a9ATkUG_Fl+caMF)HM%|DSe5m^to|ii!pxHJ27XqawhJ%)vqP95rDqPOua;HJp z#cKW_iK7~#C_9#kDM4RW&BORmYp6pvx+?73E21N(TGp>HBF)k-=x=9%Mbpg063OvYB*Wb)ZT!lEG{k%v=WfsOi4v?{3oxQr%V`)Hst0G5&f`X0;)DR+G0{u z<;^u;z4z&prY>x7*>@W#DjV!*u#a%Eh>iGK?3Z9%|F)fu8(X=F!I_%ZEUtb& z9F9c}A{@`1^Skd=LOpfl*&H4)-LaH^ix;95$pW>gGi7k5!%My$GFah4F%?Q4I#|oT z_rtIjatVJOKB@RE>#cM^9_eT4yHTX+D>_MW3156UWqT3!o@0EqXXXWYZtSr)Sy@(d zw~Tbi{<6`ONSQEF)V{J}7~&sa<*UN@5B%*hG9sn!TV0~Na+LFHx8^pp<4>C80pruj zPGC-33qU^~S+sS$5x)6S0hmVeD>tBA0WXEGh}S)v&$A~`YT1+JnY1n|Mte>tl|(z^ zHPALe4A+J;=>5aSSF5b7AF4kLQIMt72fiBzN;PDVGn!fm4Ip;~5rm*RJ8Ygpqdc!) z9$`yL7l?`Zl08JJjdQM)8UMbb@o$MVhF#@2#kJkGb=)Z^sdL-EijtN4JF9JDFmSxQ zA?cgy5#Rg`+3VB=4hhP6J+pO>s7F5pT zgIvxpmA95Pht3VRb9>}q+FUu@!)%1%k#;;qm` zE?R!={QiRKa-$1HjS`tEgPO3IZh|rE=b5>=q6&{uaFhie3$@pfBj;Nhlg^Q#NsixS zdV>Qxe~Q6-v9Ck|RC?n(PX16xYu?();~c$Kr*m&8Q{O=mCt_%&7RZ@@qNs7OMjTB4;H{+sDsG1x#M)bv&C{4^os)}bt?m%=%PcQDh08qt$EW7 zY95{h@c;yh!GAvMQIUrTCDErJz&Say$J?}gL;ZV+#k6EdZyxqp7Bg1+&)1r<5?yR? z*$?gkvMq5P(;LFVoXl#JtWz%gb&Ag?CE=5sP@j0XDI`yi=9mY*JFbg)rJUJ4HA!6U5^sx^in#B&w3qnF{ikCVPy6 zE?-xh@Jvm%`O9XbNW!0c_CNqHSNihe$8}+Mo5|EvG>)nOBS8kAAA<&tKIvU-{}~nm z8Rtlz*Ccg5XE+-3?=HP}<*g!Sk25QP@O4N;dh~#&H-CmaThidCF#8;$Y@J*3p=bT= z;c8uQo{a(xeL>321@nU(9gFL94YyHXoRrJ9!qM0Ugm0PSLX=NZQ%#qI2`#jF5{;+L z6W*d?3tA{%b~CNFbc^gYPnF4z>{pK{DN`#gVkOhlUOhGSmK7c!Qv1&gWBbE+Z0G~n zB#Xg6>S9LI)8DztTs+<~LTK!yMma5$y0_OBk55j>=oRkZgoUx=zhi1AJvz)c_pGu7D;72o!LLh#uClPdK2gK<(09?hrGK2&TwAdz9$-vg7!;&~^ z$tT>lBlssL*4^_yZRmCl7Xl~6Nl6o${OP5m@>h}D*R#0I?d|j39B@>@gyS-A0MQky z<(u?`BZzKrfb#|f?A)L4c0lUpwL}og`ZWP3y+Gq|USsR^f~D2n9xZVFXOr7KInTPo z$TqqD3;CXOdA5cw?p^Zz*7v%?c^^e=&QX30{LKI1xDFL*!Dqx1j6w66`x@E@I^r36 z#djm{;~#GM6(1U{8mg(W3(Y5(E6TJd6QKalt zrD*5Xv;uu^7lqaMRVLG`I!nzK2sh9PneImOm^p%US?~;dBCJ2nC!{gqW~V8t7weC9 zrP%s+YC2oIFx2d3s%49vxV?>Tea7vHGVEu*5IT77i1EzOo5+T;t7~(<*mK=(OZQQp z{V+{b$P-2UVfOA~)T6aT)nxfCCJD@^x!Nnv^yg#qmF3G)rA6to$qD#dFpRCyip-=V zb``j*YJsWK8Wx?hP%KbAR4ag<*~wx&e6 zh@O%4?Y5BW^}dB~UE#nxnG!3E_RH%$ckCu6W^S}@9q*kK@eC=%b!}6(oF^HsYz7Y{9VAzKu z29l-j;5om&oK(8I{TuxX71j81cRYfKw{+xp0`SB;u6o_L&j_c$*9}g870FoKI`?lQ zT`&!m=ykyGLyIxcV=$9amkBxhC|Y><3+^HLjF-Sp4l`J3({Rc%G#WWCH#_#v#5pbz z7RaqimCaU}PnrN=UBvtnmq~J1m@JR&N(Ar^(9`z^L#zz?*0Pd@y%nZ%QioIOfKPK5 zAT_G4WR6z=-MAZw}97npk%DlpwO_TLz|k3Y}wRuAZT--JbK)?wRprE zdU{qoeq2X?^jtQ{Fj>=^G=Pb=^@{B#$T-i_yP4*{1_!SD;cV}n_CD6o5l_nV`V)SG zCyLM0mAxL(BZKt^4m2_YV3>hi=f8e#T;iA&ZF*glV_*^(3j1dd-`Gl7n2JCVcb-pI znHPv&v#=ozI>;8f2Q|~3RY4dJM=UFvcVrv)I^p9l@{hCXYC)S8`6%)7dj&as#anPZ zh(+pR4*cb6@fi3k(3%dsyR67HJV66Ccz2rSNes0YXRl`sdG_yYe-QQl{+@OLD@uGD zGq|?1yT-7RCi;6`?6x~ZuMEU146EkB;uDcFg~NZiF%;l+|5Bp`97#I= zPFZjL2`Z?1fQQfN-yDW2=!Y>61IpGvv)tg;ADcQuu5`^L05}B8f`F!Zclmzn^FXqw z1*U`mdX}jbtD?HQS?Q$!=WAT1wSv6#cmHt&z!MoSEA=@urepNlxWlVx?BEK?-cMFIgS@=a(E=D`C0+oeMh-825{EknqeiJDh7@bJv4o~1 z_seJ!JM4#8d=QHMS3kda)|hwqSls_YC|6Up_~`eWG(Om2q_M3gn%;kH7^;*6%A!)( zKH-8Cmv8_m(X3Mz++o>v8(ptRJq{~qVB1S*VJto|BosQKY-414lPJYgyh-FFiIn|Y z@Kl84J6G(%5cchJ_+QD$HzmcL`8IHmIH>rkS*a|n*>Nv8A@2_0HZ6M!`;CllGgZBp zVta~H^4=_Fy$+Phdy^k;?HjCE>Gj|y^619y_iR#{##O2~d=SLpr~Pi>|E+`8zx2J8 zD4{r&QrGSxMJL?baUzb{{ke}b@|`q`IDLiJ%0lEbH9MPLAn{@;Zs%R@_ZMda;v01#V}sbBKASmD_17acjxpJe)NoO(0&ctl6K1 z>qpM}=t{$0my=+rMC3$T8O_0$?z_oNJ?JR{i% zJz1OoMl)FXK6*bwO-dO+HD6x^yOmF25fstV95QuCjk%oR4}juxi^qWnoe0K z!NTf%8!eGD49WlK|Uy(^(iCaN?)3?B^L5|{Jrh7ysTH*u-=oe>SBN({7qxXLB;bH`LkS>3 zYSVgW0FtopKC0*g=0O~Ja5Nnbn!@V`a|Bly?wkGC1C#w_x$WFa+DZFf;CrrwA2qW z#3WuTtauC{ssHt)_31K6Fc__7f4mW$^qWufx3N0(#{RgmI(y-zU=B}3si#r#vQx(u zR_sM#DA|T8f3r_qQ0@srG{vdkG!0G0`=VdXz+ZHn`Z!L{1~k}S2(>1c51B%`lK&=+ z83>&mdqjJ9EFE1bSOm18{}zH08~vXC_-nLNsOpJ-n`W4XpQ=G&jy2M+Exkc?a|}^} zzC<8S$6+@&P+L#l^g5{?7tseAMJwi)(iHQ~)#$Hzp{Kn!t=`S72)YNh4lVAU$m|B* zLM>~S?;4fJ$)~Dl67Y=v4n4(;wcnqKi6&x=f6dVz4QGA&twNtFQ2i>-ha9I@0hNCO zKgR=WzN1QcYOC6Qe@$B8ZtLPcyiSLs@b66Q`yD-n=Ws^8*oOGs5eeC9~I6XOu9^A^#&CTt%N(Q3`aBwdkfdl!Sgap8IZd8IZ{QMz?#(gBp z;^$hz{P3X%>~+sA_BKmu=iq_fw%v+2DbY?AowGoRe1=Hc&5B3#Kev+itpRX(u_T6b zgojgK%&h030n(Ox+7NJ|;esSYY0I@1lj7?G4j%&tNu`W^kKu2xB1pNzKtv5m9J@uj zCEJa19ohK+YWDX<5r3V2n>Wa)ANX5BHR-T0;R3ldZB`G`&nqhP@w)^AyJlw!Jk;!C z&zrZU0PYI|o~pt$+lKLWSqU^{OTiBopKPrXVEdvts!a{2Dq+Q;;0pwxV6tf%HE$dn zbd%t`N-|T3S_EQSKHJUyDe%UReNO_9O-fHfu84EygH&@xGji!e#7g@ zs9YAOoFVmx@l1Nn-*e{Yon+0T2aiA{S;KIDqDo;h>VQ9 zKSt#roD2r#KLJnhyFK6EA?zrzXi{O2_{{`r1PIYqEwESga~BZCO`SW?;G}N!do{fn%jmhwLmJNxv)CS4fi-`)t5mktTw$m_XIYr=r@ z%WQ{gbh(Bjf4Dgq+|KY>FWO>cBZzc5{xN4(>#b^(8~m9V&({i?+XoAit-KII$HFCnppM4=nzjZjXR%n`%^67w9@o(3hq#Hg~Pt@52 z3ob5h_T(NCGRj6}b*juy29edLo5s=B&PbPj8-b8Cs9iQoTrWMJWsfB*o;L*Uzgx8| zq2p?fe8e%z7Z3l*s5M$ZGnbj3|FKh3Fl}L^DV;Mub>XEI3j?ZUpu(azpA;1q?#?p? z=4ig(PxB}>OnfJ)Z1tUBEn=97ykT_pn%UgYvPM8sxm%94<(p!jA*hA*$dnScAUCDf zZ$q;~WPfb{tf%qy;r!uh8(Ge{ys@!+c$BGBLivLAA-_XJR}vCsz#}VP6h)J+k?rcA zDo2~O?HG;Pq}H%(HprCBKc-zUnKx4Vx3j;eU{aAZ7vs8mmpCf|bQn+$|2vES(G=8+ z_GZg6lnzy*Npt3!Tt&&e>&vyGU)|nu6PM-Z(}51G=x#Sh3PcMLz`@BnWGIe-FX+Mq z=yZT=xC#dygi11zd$tUMQXrq>J#OqdGR^ug9$hMU(mp!6B;!#n-=-5nEaVol>~THu zwn1>YX?D51Ff0;YTui{Fc=%xbb7MrS}ry?MTIw;Ww;7JNLCYqd#x{a6wM755V=Zl{i4hl5u*L(wzWuWfavLG3C(rjs96 z@0K!r@qIT3<<^9?9m&t1eGf5yOBWj)OJ_MqQF~#VwWD19H#o8<2sC3)*E{C$Gu ze%isooEBn<>XYwdslb{vXZjJ96O)10IGPCn3L*AOWqoHSSiPua_oR^t4DYH-xn4ox z!ubJ%&+X%OC6gm4B{O_Zs&8AFgdRD~=goyCJcMGVuaw%fu6UjLTil)R2a9zpqDr*u z{uv4WK@^S~4_KU+g zMsE~G3l<92-+|`h+6trI1>@w|Lbma>GxCxRFNjCtNlh;$Z6ZC~t#zddnd{7&@vJl~ zPP+GsvtMPJ;05Q#yQSe@6OqEPc@BGfw{ zTS*KN8&3K6RJufcmIN$%GijQj??=Zbb=x>XBm7nh;i}FF+eXq)ITNy0BPWn{;EK2>YgART-*d14?o5qTJmv{e_u5p<1Yb9U|cfyD$pdH8{7)wYKJ zB9170UHY?DO9i9pJjd5O#a~>UScc9saaWt_l(Ev#-`g1ckF;koewR^go)pwmX@u{_ zCnQMYVq~j-VhN-AfZ6XWjZqH6@ttn)5kz8P_*laDp!-+l$A2rxCv)7nnzNyk32dew zbWMH0B6<|!FCglVgo^=Y0z>JUVVHyIoc94cE{gI--G$R&Ay&245&zX$>7504Kq+xw zCLZ#%5;lV+}!BOqz6U%4xS7_@af+rUIy300YX;fh_59q}I z;F9I#oZJ;P(^eAxblIi9gJ<)(^#t{cE~ez3?hk(DPg~fs6xJcwy;c#^uiHSFF}2Nq zSogv>)p~m{+z^!}F|ZR~y@7;TxC1Q+FSWBuY;=8L%dT(#bu5OD)LgqucpSv6?W_3aNhJoBn5Gnj$-|`^tPuX+vdmfK{IDaDw@Y&glQsH zN@o<)hpzWQ>$Y4v-#U{VYK3<1&5jN}cC?g@i;-neG1Uu%j5d z0Kv#z;i(XkUYNKhjiqYT*`d{%N`=6#WkQh zM$y@Ma9tsgzZJhPoro>lI_-8yLhu^gs~aG6HoR&|LVNBBa3v=u!d{J5T?>xN4Gtuo-1BI;S_Kz z_yq=TFYY!BRT+9C^uaiqHkx81nUi0}xNQOmP|_80XTm5IkDd~e-{v$}tF)>`&g;Rk>{kS6j};fZ1>s9Wt1HG_T*DM`mw{COKg!h1 zvG(8)Jg2b!h9MNb5R`vA!Re=htA+_Nwu&s2wV#G~%^0e%?X5pBHj!NFZL!o5~6Oh_i4`aSO5N3E%NS*Q|xgL@vB|l&KZQkARW6L-I$~eD#hd6V2Ik@;mR4OG1wI31X-vH-!9eUWiu1g*J0sxU2*1BOF*uyV*CuK8lyrN5lSeDK)GFY zWy?FNe!qye{dn4mPAvRW%HRPR?S>JG++KsPH_EsQpnO0ikuUz^NCj!0Fj_1n6Y9t;+q=|fK z7lRXTx2RAtt^n)dfM76p!~>cho+8wg;izGgPLrzXhf+Y(Q<8>UHS5HgXPS#6@50DI z!!Dqb_nodLOtZKRmZUO2-Cs(0;5sXZR~#b2r0`h(SzXNl8brGHy*U_Zj0)cnpR_)( z{5zyBwucRu>g|DT@3{5B1`MUZCvw1gMNmvcHl4JlfM5wo8Fmh`wjEBoA6fO&(~;+u zfAiKA$i8T*zhdMywtX@;DY|kQCG8iwT!SsvZu2u9Oo&NH2vW?usDw!sa30W} zJpo~W-##y@E06pn7aVZH`uS zh=nk6Gi1qW@(P7iCx~2THOnLGwZ7-KV}*;ke1#Nu4SSOqTiK z{ZPhGu9-Yn9QYXfquxpe59jb*2a(e{>HDCYtw>>8`vJ&+oc8j93T)#wSf&ZqkMQjM z6rRUvz8bbaPFYI#(}iUXCADP|?HL(D28uu#FXNqxoSm$6~^^-=((zO*$ z+%dki=HAa04fF}#5h)FSF#c@u^SMFsh|6V!}V?wn3*P zJ6t@^V0|^u;jeyT);LkckIhLvj|kdlwvy?GzaxS>=aWj>x93G=^EH-uUJut4*ObW( zU>(|Atu@is)|Py;2~19JMC)NLn30F86adUlB~P(ZnfUh{y_(w% zoVUwpgRAbHaiJd9A_#U-c2?~V$?!wi8~54=dLoImb4$?eU|RHil#j-fz6A%`AJu%i zb-A*D34l4!%M(*G-h%hp>6RV7jkkop<-Uovd_pImbNzY_t4wz}26-LxxyVS;kk&|3 z9OFm$Z>>+^TK-%WpNRu^t4)^X#CN)WsoEDi;2k|d-l_%+B_cnuwT8SQ>;(taW}i{Lt!j7GT}le zrZ*j-leBKu%a+aje}^GE=?c(Cmda{nIh`PCXg$MJ41brZRTs5IGSDzQDkgrfamY)y z5vZZJ)>O1po6qvkXS%?aB(Edv$%okX=nq>tM4{Oe82sFT#D)Y@5%z~vtVm^?mLq7S zGuRGtR0m{8bwuBigqJ8nDUC~|UqG|y-${&5{G_KRu4)7apykyhf-T%(UfVMHswf0b zYDF_bElfK|o;cX$25VxMF)>L3PG82tZ~)A1#de5XvSGop};}txI0GiuhmKSd3HZ;xzUqUHu|ST0>TEU z`O<*D$^i`3fpB#Poa4>2`mW2)|Img2CBk51D^V27Ow^Q;vz}y%d1pe;WxHv#6qyaM}?Wj!v1ls~O^bWA4 z5eOkgWzSf3+8aM#{rzd2QEN*!n&!HsCKn9@5EwDW$(x&0**R}NC@NkjgeG%((CqDb z*fr>2<7I45YpfQ}{QYTihnj{dc%Jw0(g%i4e0HZ$d5SoI&*=-ceL7)@%aw=L#Cw~| zScuJT9)H+@(}i~8)rt06r##H?^fx$8ZR9aj!-*vZ1nJD@s%}&r;bvw z+4JPIP>$4g(Vw^->-KF!OB~WIJIRswmj2#WzL^S`heb!5yQW4_MG&=6$)1nE0;mm# zsf}MXgUqzv>v1nBih0-TB`!)IVkW9Ti8DCg{2(Jo6k2o8+6`DNA-lU~xxdjQ#KbPVWt25F!5&r)`~4~N z+`Q;s1zr}5Gt3{7Q|>?Q5jPe`I6Dr~KJ{Kf1@FH5!i5i(OP+!9V!gEK_#JR={Cf@o zfC~kbN+>3y>5&DW@-_et%VpG_?3ipNilkj5&*}NZi=FZ#_(8Rlh(g@ zL;1e@^~dp~^)<9Y+2G|uQ5l!zi|gu@-&htUa!}zCeMI**C zNvj4~esYZFw_UDxMGj*AU!fTIQpNK*W&4v|pSe>~>Qsm2F$MUDk z8zVjza(|?Mv}>eY2m~J7bp$a(x~?~Hc=k9{*{#+LeIB< zNmgDBNe7h9Crvt^=Nxcv%V($yt6lu8v8*U`2=BGDw-2!Y`xwYp!Ua2be5R%1fN3J1 z5yYzQ1OM6k+Krh)g!BS<&(t8qi7e~q8{Kxe9z2lZ#%g50bFoLayZ2Djs1LI7gbsl) z(h}6(&O2WZ1>BI~d+QqO_$#;GN}|#GyZ3jF(Rph3HgCOnXs>o&(a*ZN9TGqF(@xL6 zMnl|$2rDWkep!e(I6Zk$>?Elo`_@v3QucT&8=Tv1#37F13n0M}ZC?T@#eWruJ;Wo3up$0JXYLZE2kUbR53HUz}2GcPUzO5xw~TJsQbpp*4%TW^h7 zaD_w@MbwM8rWGodsK4bA_!&6azp2HXONbiOa)zMTc7+>OdEH?rf~Q!7qw=e=bJDPG z5j=SGz#WR9Re}pX2qO_7Eu>jlSx6`-l44>|{sI1(7dOF*c>y4@#zlx)O^AvuOAVMr zn;gkaIkR6Y+D)p!oLG0dcPYve3XuV}g8P5ydJCv1yYCHjK%_xH5Tr{%K)M?#X=x-S zq`Nz%1Ox@7K}tYMx=Ug}x{;Lbj-lrs)bDry>#lWY`Hk>7^S*Q;YT#6!`u8%gh5$<+zM?DE#3I6v5aN9+jw&4 zx66gF16BaX0Z=@M=xH>|y!$d1q&1bq^oo+K;H8Y>Y3*d8K*}Qsh)SMcSSV{~=rfYp zP39Qeri>^zy?ezF@}N{dL0KjC!v}rtZ-sGkHdO+8p_nq(M>p>smMN8#LN^QYG81`m zM*=hjR^^q1u!hawUG6P7%kq;#KeSyh$!YfLF$7l{SU1GI4K7B+m|S>=uJfchr2_k7 z@>UxWc0zm8Q#%_o#sNzC-5H9Q39<`;v);pQ1|f}opMp&BkEE%Q>SoPSmCW_?_~T@m zTxl)-`+2^$7W|enQT^aVn#=_2J$3O(|$K@IaZV9`;^6H zufBWFQh}c4g)?+g^jQq6;5YG60z#4x3HwUYx-ItIIU|ZfBEVC(eeKQhRjC2npj1By zP;8A4DmQf z2%~HA@7fKaUrzC0{<~aM%ugg|d%%XK0CwG4l|#g0Jk={$0V@RGGN?DGQJbBuoKZqo!~t> z#>ZHvM*u|+%%4;cE^;<5h!V3BN3jxPeb*|2K++UZz@z~DHz1|2E8@kCjJdI3y9qZP zwv29Z+wT#zwN0P2cuC?_T=-qPI7ar#RBTzp{3mIbgood}p6@yGgQR0&-r^Zmb|#`_ z)T28U1Jj2<_S#DnkUoQMpvOlCNJ^qmY_cDKQAFoBnG8FW3~Z8?QOKZoOk>;f7T_*I zweH;Hx`HIwc@KdKQ+r8~>QtHwp4S6Y@w`c6dtK7&z(B)~-`-Su%@gqNVyE^`eei=H zCcKQ?oO?15yv1%;ThXXl`s#YAdgfrus>pz4zGk?9dKCwz9T*I$H}*1Y0A<&RUyK-k@<@aGgJpV)5+UG<|7by{eO z$<1-r<~Kn@vnMUwLyC_kMH9fB&p7&&Xuz z%qAX-j0leLC(x}+=u zi-+?RN4^~*4_boljwhCj!{OFWBx(^e#yY<)yf&;)#|9sqn{Ta8f?QfGJ&HRX31uBMJ zC!1QJc=@Zo8yQgHmc9l1$ea#4Lgt!E@r1%PtdK_xUou*8Y|VXTQTm{-Vi14~ba3!S z&J2#gf_PzKf=}*sGWgs!_2BChtd1;tfF1g=>gZ8KW6GWc0xOvZZ7lb#+%Utk+^d)% z(Ngh+$M0mQWJljrYQA`dB!)vv9>qxgdr!i8JHG`--C$$Yu$xf;DO?vhTpkf{tUclP zYf?a%n;kHKgoTH>T$H&o#rlY)Pb`2o>zwkqT(jt}+lo~vE+G;fP-Kg0E22|P$;vq36tx~$eU z&sNa^+Y`QMNn<;Kn1Q1)_ZyWa6{N{B5>Z+k7A>NYfbMpZc zx6k1d#p_DkvyonZ6||RcH!@P+1-CSyXzQJpwurw71ahu-8@ch-hqS~PVe){0IU65^ ztO1&jy;L82*yRf>mY6}IP{CCKCw@v|a}qjdeQ zInHa_bX+{x6x`|K4^9hJGTJXwJvg4sHh|v_g`T~58~^z2eGm7IuE({$@>^U4a)68z zTe|<$e0O^kySbsQD5+x6x&EMw#Lmr#-&3j%R9Bi7S8bm*p!)PqY#OU@`a=A)mZylzdd!Ykq~FGG10tDx^P2 zfDn*o)E;(`Y`c5~romw{%D-@;v-w44$DqjDH&e9|fF2zDe}m^2HWi~dBqR~A+base z%PC`C=Z#k>(a*SCh|;~zk{;Q?F3cE9(g_&|Op1xBC}VpL7E$(Vt}d4M8k)J+Uu&%L5S87=W+~9nZHP{{3s+HA*!ci}2j7v8NrSQPDdP?{ z3MqD|*W-A`tJs&cF|xTaGP&b&mfhb3{8qWrexTF;ypkW(waohOoQFhFpKFz8>5XQN|8O{= zH<6LqRoN#eyEVIZIX4)Rd${Gn#Ez9tbfJ0u?3BtorGfm4c{ggtIrQK$ zx5>7YHM@gL?=S2)A_F(%@cp4}_vN9K{O{^-Zf0GG2!*Sin(rYY(1bj&I>aPrCj6cE zIWs~3gKS09zzwzbZP2ww4vlVUN2%$Vm_!VoW5IfribTve^|Y2W+=cMT@Ocm&`<;}R zV0iU`3=H)u#6MSVzxCW<*}Hi_5Z7hI#YED>B75O8zz$-E-rMEsR>1itu$!E`I0SI>kDxX^lg84wVx&xhAI@X6 zAAc9Q(W^Jb!C9oMK;on61Cx!UpD8Ub^~^wqUBY8IA3 zGl!g_Mp6N{y}%7e!@k5}R5UaxuuNiAKUG$Fm%3!pFU_1TcySV2PoJyO<+KOeP5NyQ zCyw%MZZKR7A32|#IK6%vOG9JK0*GE%-~Kc~LPp}`lap~elE;?>B9#}M`s2;>fW73; zMHdiMzbaZCRGIBJVRN`Oqe8mtsyuBfrj#fSFWpob^qHH!wwN)mtbVa;2}qSqPVQWF zq!L-@%)0E-jh$5CVQH?q46Q&+j#P53LvVflgj2m=hgv-U^=OAtmC@>YMm1kC(EI?= zan@vc`>EaUGlc?vHm)S3t|$O)%BA{3v(2=s&9i_$`(aq4xe&xlYR{jxhiwdw z;!XO|wQWHk<5J%Z#l&dbXjSIqAb~Vu5st*4*I03UTpZ^dg8bcYV?Yi4kthWbQgOzI zBryf{$5{FFjFRT=NF3Rr3y_L?i2y(bUY0m-Kq6^siN;T3tm`WUim9 zj#))HMD?zTm!JQBG%TOd2!$1V5?9YjjTnnlTO@U`H=xJd+>zLR%L5#G+CG9KvxFMALWycBs zZsLhw^7jEGBw1wpU>q(Yj>*!O%d-}gNy5;li`im&-L%$ z{#_`n9>Wy^cqIPM%jIE|B7)vq?l!I0Xer}}$}1{u5^0h+Nc3?G8`r0=EI5lwCB|}> zl-%0gWjhilrxV?TVN4t!7YzRPuS8l&8}=J!5YXcU)4`iDW*CqUXbdEJ0k8Q2$$yz6Nr18_EtBAiX%LlnINOfXA>thDoah^wb z1#xu}V?DHZXqCSF`Qya8-Gc$TCHB!KZ+xC)4ZIhb!&-iZdzzCt`LOp0UF^;6-B{t` zD)Y3koSo%lmLrN~8d_h|Zdnp&Fi5^KC&G*;xOu2Wz^?7@v-{_T-sCC8WWn-TPNqE^FPf7q%Qh?kyVRysZwwCB}y~N{podTYJ12!DXn(>tLpc&KLcE3ip2K8$8bWGlo1r-jM&A9D{_`qzV*THls zFaKaTS8u1&)DklC(_L1A4EH$SD*nme@lbZ7HGTvhMcHin?0E2evSk4Ql9LBpBbiHZIl9Z066f4dI6e1g zVKw`X23`Q z*DqctZj@DmRiHZkGAsfb^R6&zWX5gp$6+j;Q1$4=h2ddTgm%^7fa6m-l-##(iTJFE zNEPYMD0ux-X9aonm`^^u?*Qr>R0%xZ)8K)2L=wX}`1n8tJAh4gbT77p^7y^e8({Pc zC%l82eJ&JK?U(s&(?;wEpHQw2~!Wp<=UTA#W~;Cl~@-`xWtVEft#`Zd5^g=L{8MYh22L&V;Y|6BjCwb)1g_np>6@xh)wYz(z8`vMuwQ*>#W z*J?L2%g-c6iMTQir1!Ty5V?8BH#sQvJ)sL;!BV8HhjpntjIvZ(9 zA^XnOB1{gZ{`zfpHv_JZ*IA$W2m<#{F;y^_g4?>IA2^W!{OSeYi)_j-*Yfsd8uq!O zq~)#coY_3})MaRX7jgeSpy)hsFTUI2U3a@y&^8ZXFli4DzUtkn01MTxK(W+-Bfi}aB zU(iJ5>{+(G_py0{lve;z>3qw63uI1nkiepBci17y2|}iRv#b5`ho{Shv)yz#K|=3O zR%>%~5ON164CU7rqK3-Kv1!lK$gGMr7&S_-9x3DECMF7#qt}sw!o9uYZw2l{WTgS# z4s(;qf*WDpmeJ=DWb5*Z*jdyx4tv)gV8CDIj+ok|c$~9>1)eDAfXMOb-`;_8AcJDR zi>+UvhAbB29*MVY`GH&@_7SVtVf3aSyN>8YbYz5k9QLc7NzfW`yUn{B1#PI zTejD-jQrq*m@?JTU|Pd8j=7s?fg1PJ(9DRBYsfJnv#4`;*xn4GMj-*6Qbw0egq4!*Vxb*gKE7BVpaO^LMZ@uIb*U)QfZ0aDJ1gUCFo^xzX?<|n(c;-9 zwCnO(3mBb=Z@VBDfQJmFV!U#1LLPuLk%J1zsb(vl9d44dotfy&o}NkFbvb8e&g%W? zsK6gDBqXKkGg|D+APl)9dez1K;s$B(e!c|lh z)9tTcZxG-G9vVYyGYW;QBD9NbImw-&R+P&4Gv5DqG~0n&$iwmg%uwhhg>T0N1|eAV z4>&^p8T`hr?|iKqr8=Qhhlji#mzeM6WbNlxWWrD%NO#@dbc?uQo5nr{S)GDsJw!t- zEzoM|XtMUhhjhws%f4uu(|3I!zn_+o0rdhwjON#HGSJzSTu<&7PL_zfYb8!v1Uor8 zu{kuFiEFn^X;ceda;6VnU#Qr4HWfl4F4QjagR z3*qyC!UlFyVJsbOd3G_OW^HYI!C5`{c`v*AQ*VN@wnj+Oy{~MyuL@1b`67pDMw3ay z*LV5p8Q9C`FP0p`r!qWn%m&s#P9j@rpMG%g(4k1kW6L=r?^7|^gZgEz7?zSN?N}7D zc%|kKN_t|N?>%}oz2AS=ZxYvq(CcG;kQ)o^C=Ms50Kq#_BLUm7-EIMOY@pL~koefA`LGbhI~mn-adA@6FXGwv>( zH@Nm@+&xiny>j1MZQ4cSvj z`Vw&4Ll*O*NI+n2n1vfTDKwhWro(>Y=0<3~#%GVQ+FgBGyH!4IeGaO5 zBgy&jZZ122ShE8qw_1bAP3IU?M6jv^rRwf-9BtyEtS(2i)>D;_#Z^C*y`34XHJp$y z%{~Yjmp7bIqtP(q)IW`gYMZ}7VYNLmcl))L(F^Ufm=|bER@L;;TTkXs(7vj>FDV%o zh6=N=HV4M%(KehQiyqXWE~_}8xtf{0F_(3W7_90t%I3j}k7j`>>zo}v+#n>m_m-wY zgZ1`YQTjvQE~-Wf*3;O>R8;*bDm8m9F+5%mcA%XR_Y;NRvag`u$0p-jI;>|gE^c2G z1w1io3{R@B8_5Vk{6U^U@`bnHCrhbJZaQ-38mlkW`mn06)=1$w?MFS6hXsrqvTSy3 zsU+Xc7JQ4~w?WxW!&d`11aosO&NtG7=6p9%D;MF|$PXhYH zdEIUWzbd99)yc1M%?Z^p{XJiWmAoaJMi3O_A_zjpJaA{Gvi*Jq2fGWe!xld1)-RLW zi;m&lg5F3Rd3x*mrA23P-Vg}xRD2nVYXcc@LmWheiYMS!h2I`GDZ9P5RPTSu5HUV& zf?}_K%LmeDo{{N991K{pxp)k@c)+TcdF=~dS2{dg>q{Ts^1W~olM&`T zA1o;u(@PLlf-$9~XA{joIaW6|4eSrYQN5|Ll}Kp;Fg`_aO;SN;A{5Jkx%}>KWa(R; zuVqskHgS65tINGUx`q|M?4Qy>oA$VtA5_Klm>@#BtrYHgQy#}O%tKm#i`|SWsQSSlm*^f?w(Db+e*o1~)7GX*@V;cx$2A|XdwbQS``-s+6S^}N%t zx~R^eJy)!;iS6ckhde$Hdr9e)*wSTH&0%$;bj7^(oRh=zlL(fN34YjRY{NFpj39B= z=(NsiBkOXrKPrGeqOxAww%+Y#=+m+u&*_ubnJSqgOig3I?a4p}yN5wJydPbeW&KL~B{^ z3L{XmQUU+~{injgO+&XSpYo{oMgLBt01YpfwE2QqIzwp5+_~eaC9oT~6g))i1(?hU zg>622Q%xiU1o2v&cI1?(Q+@}O&F7w6rh|zezO;%0DHappkZhavTUQ!)O?oKni29S` zE-s#1o?4#l&Y=XMU=>ZqZ03!(n%0cZXy72-!S$=%*&v+L7mkwRQCjUPp+@d>T>8)O z(N9W}koh@jk?K4yfDsto;Eoy}Kg)MgNRunRm@O}N1VNV4ZFu$Cak7(e4cD5p#tn+U zp}1;H@+Zvf;jnCId70-fKT|Rfq3`oV;_|X7Ef@+)RD5*lnet2lhZ!Kh*)J1XR=OG~ zMcsB z509r>TD+XC? zijIy3#c;m)VXoNoQYQ4`;uD3<&NgbeK}aH{z)>*zxT?Sz*73g$N<)UjBn zgV>(PvCL!-K6N$;Gi67%)gEVl_&W`D>D#+OrRsLF1fblojiqwi4D#BOQfIl-+^UR?<$gjnukxQ?b;!_SlJ5jf17}sW zXO(^9`Z~sKFZGHKL<56gAb>vwm2VSXD<dcl>S``a%Do63-8><-(@x*pGx#r(G)ev1Y_D;}5v@q05E|vPE9QL5PX^|ggACda z&(ovJ$AHlx7rn(b2Yoy6#N8OUPSxkBSLp zj-)<-S0CEG(PfHkUk@Z7rGQnnZoeSo@hkZ%` z$x%TwDX->2pNxun{*1z4XL+mn{Tuej+boQ&=i<-%XI5x;2BsncZmwv)n95#H)R!~c zgF|59*C`u?qRr6;9!JMx99-Y3J#{s;TIs#&r+9iw4mEg246#CG0E zw!VHKFm(5FDN!UtC{iv2+e(bjwZ!R3x!K^7qeaq{3rOoEHv5!0!N|cL3|v_n_$(h7 z`sl$;GJVhH?Y-IWWt&}PdYgJVW5 zNl;3~;J_myB1m@6#;pJlVFUyF!-Sm*KI?Jkw9r0~aV%BW;K{fHufbr@uf;mbx+;K# zJ(2@F!FhPBf+EZ8yqYWlX2_Otg?2Ho#}=o&DF^UK(chy}#rDjqa`LAts=a2~J00@2 z(As(hi*rp=0MugV4 z%n%pwQlq0|6fWE6BCz?8?1$y2iS&ox4ZB@(#RI#ypM9>8kWaQ3R+9H4MaJUV?x9dK zup?Sc_(T-#SjT;iZ!_nl22mn#_2>Lh5P?F*Ch{k1aLumGK~jH&flbaoYg_gR@(J&u z{n3eqNd_+h0LlObMEj*N3)&7S7vrDvq=7DMym#GnMa*nPRWn>^H?zV>A9#eWZ%ssV zH8S1QOj1Nx=vW>A@9Cq9FJ&&!lm|YF>p zcc$-W_aFu9egJ1X`}U$F{mE|Y4MCiMr+;krws6W+XbpQ|xmHYULZ}Ci?%?@on|3|- z{?;|u`S`#>>tg+7J4*p&XA873D#h4#3lB<*iuhF_DR7xiX~|lV8QX*$fG@@T=PAJ1 ze`BH4AVSz~C!h1qx`2S%J2mRIR)l-M_e-r3v@q1%i1m0p@UTrxL7dkYX)HBXlm8<5 zJqkD@)-)hnZo6dEc-tzHJ4*?(317^A<659(V8^TYs&L%1p4Q)U7YxE_ly4dVXROd@ zQ^OrV2us373Ov4!gu+SD!r?bVtq6PN_xurVu9jynj?XRoi6!2J8efhT-1+VxsA=5( zwI4v!g%#X1=}-kV|7B)U7Ophn5+-z>Z+Y*%?f{vOy%W~AtE*ZSdpb;Y7fw zW>*%20}FS_gr7uHqon~Qr{`k?M(Mf($9aqixjzRf8eltZWNs^L;{SqWGuq99^-g*I zUrU|WogruS1ZIRx* z^{x!SJ}hZ%%>*E@lpl3(^t5*Y+UI;Q(d|2{Cjtbh5m5HRHG1=gslHzfEGObFfYjZ>cZ@Ldtn~}0bmHDpDR5?Y)0-) zu>LKsha&JsGMyTm_H?1gkh^>V$bK19F8?nBHV$%E;2v8za<&a*!NI{;&CM>`+(0A( z3t-`DM~|yKjtPG(9*`2Gek>ydNzh|=Wni3>lfoEW3gsAU%c;A%i?;Zu- zm7niGo`R&FA_tWasGx9=ZsPK%8ON>FQvZG10)k5*RRS_5Fs=VGm3Lz*2r_4nkPw&? zdb6oMht(q|^xn_Zm^1mCC~F=XB5p3tZF(cyD*2DYI=~Z50 zSw@hBbJ6I_{_tE*>`nUrg7BwiL;QY1KbZ-^Ig&jztR5$!H@y6l(Z-4Azr5OA5>Q#` zy~lsqw5+{eE0piD*#T19p~oJ4tdFt466$-FrMTi)_lBL=o=xNdv4}|i81et__vI#r zFhG)V#qmDFPg!MMHOR-PFA`}|F)u;;GasNIO}lqq%1WdW_|=nuOkP)Gbw?vuVM*cF zxBsTtmUDTRzM2K+D+~7udFSUK&q!?qQiTKKU=eZz{0mX?r@ebE`oD3%`(aF|yIwmG z+*U65?lUD8t_{US#4Nu-aOh<+k|_tmtueLuJW(7OpK z>hlTPc{rM76VEWte7J@}JI z2YG1HKa)NzY`VwAE?K|@PO>-3d7ey(O0Fu3fk=sZnirhTV<#*t*9$^oY|iHBfX_=A zz}1#wl{CK8)*|_xx8H;KgK`HZy-PXo+Y2*ZpG!`FThHk3>5&9HOQ0gj4Z!H}A(TQs z)V{EWg{26PS?o*VP}b54BNK4_Va-h=D2Qp`T{a@89dL2HZU|_}5?QIppdZNYcn_{` zZswrFulP%MjsfL#=X-(-kiir@8KQ_uPoLj7L}*>>*Es;R@1Ov5`LoWW!Y))M4RF^!xY%F$kmV-OLGru5EDM1QPO7*86M|eMiI|0?Mh+J;yZ&Y}}AV2}wV;KGZGl4Gn{{R7}qNjOYk0@VmDdk-+z?(zunqNl%5HdKuMv@Dm z2TBC`w?ZO`h0yP6VnC(n;cm_L;j~rh(!s}UUY|8g-wVI=Y<&!LjNwuP5lCyA-2OZ5 zY_WxApN}aip>FVF3QUsE@7avIIEM_i)2i#7){OuzW@%$M8{k*T!Je5irz*-5H^8{3 z>q!UDO>L!2pRG0p7{hDC^G6iz->o>+zFO^w;Z3uq5brTjOM>(B5mb@ z^1XL85R@jgwD`sDa}i;JUVlZ8-{)|*#Iu-1sS8BroaUZ=i6-R>j3VIy2=m)upce(y z-X1b)`Y!qoD)7v`0}8QNpkgE}DoPS?{STz_MHqs}D`0fCwqF1TIEa5@A`#Gt^O^!8 z56xG5C_qNErOc=k3ht#pD&Td-G;80k%kTE{;Gl#AZ7l7zWlomCB}wni{}miz3zFxo*MwUfc$0YJ^%;-7|&uTJaWGU9#HpZ zzZp}vMY8;=SR%CRG`DW8L6W2L_`1@UzRCM>hIiwSWgn15vad^Z-!87P?_Elv!bQSV zkm&-oer2}1{8L2}Phk%q<9^VwdmSlFe)~}V>BGCi%GoZZ!p_hABo32yzF6UuV?{c% z&ivbZ$3GXMY!W`DzU%7?>K05ylT#;{_k;WGO=^2adON3~{OxyTrJ*g{Rv8%?R$>MB z%T2R8WFUZ86~WRc7tZMd0|V`k#$+1#uCUhr*fV8KsyW^1L^oQfN6U;`jPGiWs%UFdN>#N#w$rnboLfz1wBrdqDuo95Hic!@~~jBS}QC zWyC|iR951Hi4uT8$U-ez8Vbl7#UBVw6%EGq5)7I1=@EmAD7&3qEx^eU5D@f)(|A}C zgSv&;o=-~^r~v#D0;I%iG*Z(R+w$Zll!IF=bA*!Fqf%+~78;*BWp8jwT>0e5lT&@3 zB>C?%yEfAx!O(up(g=!uO!_iJN@vnbLA?NA8zKb(&JL2&mM(N}ECJsoq|eO##!~;b z-kQ@kl;L6u1Wd?l=m#n~IuwBGLqA?;X-%z$BV{6Cu)INZBEw|k8zsiwyelAA+xbA59-Tb}pIl-gcbzGvL`@y|2Q>@JC$TIyn^?8~ z-CdrSng8uYSO$ee3KpK2e?=oHI^-iUR#pgBd&goso7cKxU}l+y(LZBs|4HHN-)}A<{|e{R)k)h>EpKFav~<2ykmlJ=8+ikn z=&9P!#l^*WCyOmqVE-Beu&4Ml_L^4euPQF)4P5( z*I-A%k@3%k0?%7{&mB(VC-t3DlsbN?{1?)8zOuXB3^(8~$QrT@Z8N=xj*fRWx$bh`T^fY34_+;zg%@H^y* zMKVEiR(>(i`@>MsnSj4xt&X6@x;9vv(ttMXn^#p4JK~9e;@Ed*W8Vf8l*GfBb!> zNO-P>^5yyOY^dPBzVUpIEDc%ryX1QjCrc!Wd$Zp{c2jhe9t6K=`_lw!yiO_U zvv2B_*QaIBg9X?E6s1%su+vQl0p5y}v7=3mn+X4Jddx+FQ-GDlvv*5!I6r(0VIsbrriOYW;u?oPO#_DakljOl; zgIYkgVYXK&CtXFIEj9^0UMYx|Be^d?Xv}Tqu4E=!>D{niyumyFR(;_GH*rtkH|MRY#Wy`3kz=4Z3e$t0RPAq6bo<<~#Gx z4Fc?-cZI9XDJsg{x~E2jxUKJhF4j4Sp44wU32tedw3_%*UXBN{2t0kwL=SwG-M^B7*6?(4)Wy^VEV0d##gb6cbM8)KFsUoJ3ahLPXuh@Y1@6nNvkXYCM zTofXTU*}24gveFA~*Lj-n?|=+QA-TbLg8>C{4k zkg-mtp337K_#Lmee@qCycmMwRFI$vXLQT_fuU&OOMx!>Ctdjiaf{+Tmjx;~-#{roi zA^r}dwq1LXLfs+>z*q!y@+`c2Zk2F#YuegNKSJ&%PW#chP})uKslgi7Ul&O^+I-)9 zBluk?{GDSGCa56C#Y5XsSFa_-i*yldi($a$b=woHhBsn;#Knd9H{+q*^7#p0PFb@~ zS)XU-AZe(9f?hM2A}OCIep{Q^x&4K-{X{bHpN8errBrV)Gu6Q!=X3e)t;bnnjhHdF zdBLwB5LTC;YWQmzQWi1+O0c1?=%1s8rz9g@0xF62SS8`r+Zg~8x2$qR zH&;aX7oQn>%jHhUq*}nb5e`+Reh-S7co`V%*A8$uD#{N|p}n|-JVcHK_&N!GVJ&C- z(GL=sJ8_aQ*RrU+lj4K-$*@m0M^Ro&KcHb}$1{dTwoThLivb+oUh{elAn7*UyIT#) z(d+9Js0Ed`KbhLQ6r~SWRlv-|64q@d^+gav#l(i~_WMiZ!!{gvBs4$h#kl)G5Rnk} zS`7Sdu)u*dgV@_IPuNlcp&w0Xd3(%W$3 z>orF%L(rw7e&o2_MoE)<;j@*M>F0TVmd(|wKT*tAlU)0=BumK z3#*oPOSR=Ja+lCie^O@=A>y-9BT~J45+l>ioHwT<{o{PbR#MOQmy#^ED=r^C= zZ|8eVq6Y|-v34oke4Mn zqFd0{ClAyzu!vYiMJ|Vk?;djY*}vWI)l?lFf)f0yINjKXe_<>)D^yzWO^)Tzq}ZJ;$b%n6 ze$^FL_qjTq?5~;qR=x}+nQp9bO)Ke6FV=6mqIa5ge}1`Isx83iFon9;?UIa5`6`AEE_<(1t9CG~XnU7stPVAy`bu#pBWjtcGc6KK39gG)C~XNN@Z zs`Jl9=WZkJ<6b9ljo*k9zebm|i#6_^kEP|h9Mm*@X4d-#h^`ctyP`&aw*{T%viQir zW}U!>Y2RM)qp-C3o7Y~Q^ry-^`{d$r=SjNOUdt2wO#m*i$>^j zBir+2SeoliD}+qMS9en1tC-y9MA>JR(O@`JJXB3pb##xYQV?-!h!yc1EPr(um#VW} z#-XLoLC&#@!)UlpXJ@D9)ou*|>iKE0osZlDk!uX0qi%TmhPWqe4(oE60uHojXm8G; z@Z#4Mnm)U0rSHrJxXXeOn(gs9&lHv>F=qZ((xmDVXdjStn_BesT+! z6K*HV6hibe=*U6IJa#3XU%$TmWLtN!tzB)Mbh`k*N;b;U`;=o<+9V~Hz&w6fuChDd zq!S=2x(t2GfQS98KpLhIedyHn&`O45n&7T?{7d?0C*IS#B7h&|_*9Rx>CJk6eO+eBGFus2p-Bi=p zPX`W|EvS45X%1}r0yq{(&K`zKm{j~C-gN`rm@Mp5&(6-yX*Vx;GwFAe04hm;?Ge2b zCyOu?Yx50mH=H{z-sg;D!a@rC#{YI}YxHgce^S%bnR&%+FY2eRc||L8a|N4xhqlb+ zHK5y{$|r7cJCeX@G19@Efx*EB9s>dys!Bq;`(cQyiW|UrW<3#Wh0$hfKTK|quk^&a z%(+Z%LPc&rj*N^rkE?4wBgN(!YXXvwmG+D6+O-Gko~!Yi&Nru%jHKAlo<7wERffwA zTR>AI0_tdKpmO8f%&5^=fJMT+aj~8;31n~b0pHhVZo;ig7n^y+VD!~O@9mSCnYpjr z{kOGeaqO`@IfUu(!n>K1@cBl^ZQ=O2LL#e}68xfvI}Jls^xoele}o`|9|p3n;ICcV z$aSn#?}xrvd{pC;4iRorwB36ISW>qLTh2QRye!ms7`M^qe6M&aoz|gX#kf_u9~uR} zFwFgWrsnKisqeMxGUvHn{Cuy*cg11UI7QIo{Zpm%EU*10C1dCZb>(5P+?ZaQ!6x=n2L8q}AmmJXgDE^`4rp1H%QnRrlB_JLgJ8@Z6D_hKFEKNF=# zg7yY9_BYe(V;kswAMauXf@?_{e=AGxBX?Qic)F+c>aSlHv|O)R+}UMMpp;T+48`^V zCfjyn(6Wl2j!t?3ehcFQ^kH?nioxwvN%B&lnB3>GN69yx$fLDuFtp+<<$?8^P-t3FAfA@zL2J5B{o~pVwoPj* zeWPINpY|D~PYA(gi|JDo?u_T1y+*UG*{eVPfKBIL_>G~;ah&01pQa^#a$CK{*XJ}( zM5qMl-~8YC_L$*kFZHLm(|7xgaU{nqvzypPa9DgOUEseES~HvtRR3(h&Hb+~NAF zDD#TTFld9noO+?EXpP~CwKQ0pR>}OQj&-R674;b$QEi=`LP?0k;6uQAMLqj+XS$fb zRTTt^FCM&}+3#gxnFM-ijvBDx$85D)rF8$_CHApudm?T8)=WNQzT^_Qy^aDw_tkd; zP_LrVll=w7zR`4$q!DvUL~yme;9iUxG2A^ z4HOZu2m_@-x&)*fETp>|>F#bsL|R(9yCjA#MY?0??(U)E?g9VjobS8eJ-^EjMBbTs z-yLi3wbrwqwYEUO3-t)B$c5!mPV?Zn1&U>S_G6CLAU0l9Y&3C=q({ELqYpO@vB~Ur z-1{3O5)fk*JCE7mk4K2+dN1Il{2{C)ad*u@)8C?u(V^+#E!Bvy;VgBtG*dQY#H&Z^t~`X2 z;UySs7UsoQ*`cIBI_=suSE{SI%qHp+@ah9jTi72yK!iVkHVoouWpTSSs&gMKv^uOE zg!ucf?=!B7sGRrTOeI2en8LG0ovf}XRG6$@P(u9F+7kS;w_lz;gT{!XS}ZgN`Yr`eF!q5Ci=KfueSC=@AJ)Tt4~$L5d?pKG8?NDk-22)K}jo? z?Y!CNZ||Fs1x4D83d%b?&T@zDa@rV6WJST7gOv*GgWFpmcm_PZy?@pzFfJT>j!!?S zea7??3Tq)?h(!HLVYgpyZsEwUe<(zc*>|Vg6>@Xy%Ji~W1VU~-`=-IY(e|+f4Rmlh zdE?=ibOUy%A*|4oXWBAuyi)yRH=!}q?3r1~k8i{`A8cF$O$PKrJq^B<{786XDmkj+ z>3z6IhpF0Cx1csVBgXAf)%FmWW0Nyug+iF^3ML-b%{U{>vWVS5gFnZwYJ?lMT(bV6 z!k3(GU26s-;lx3&zM-kLV*Q!Ndql^rGqiD4slIfH8NNDJle5DY=TxJb*`P8#IL}P% z>dl*CMn+tKZGdy5{=n}CzUWm7C_G8$ewLf6k>|MM8hH1Q=D;WDjUv{4q%xyHmvQ?Y z-hFOuU6_2X{w0@qERVp8fTdc(2`qB2UO6MX^Onb1%`x(Lf!XOaL%JQSDeqBeZ4OsI z>a{2&a|^Q`%BjCrsj)|a6loQK<5d94vf_|3QJR2co);*W z=kB2MxxeF2g$C+;Y%-X6O#f{m-lguKcAMWMTixTTTGdJ-?Tk|8 zuPiOY3SsL23yF41MqVzTU}ce9^I*6+_2%j%1wyuUpE zM^tRd9SW{8NkWC1Rsee}JBe0NX0a^xNtIdLp+=!B!%*$0tAPb8oio>YFH@VPLhz+& z2vV>OF02jh!C6!6!z~_LYqQy+K%2Gtd?g&oc!es4eDb(D@p0d#Pk_VpQI8^A80Gzf zBa-)9c{zn#m()1Cr#G!p3;W;Vr`|#Br1AdGOJq8KCPh|NsD5Dtv0*5&w$z`;MlRQ1 zOC3%OXdmFOyk2iFs)F+Or?y&HoBVnj!pFBca<{Z*?TnF9kO(Uh*=}Y)=6NJ?++k>g zN%X$Mma!b0MGrnXCCpGxL0O*2BCfmT5@3bVe4(agO*!e##v=kP>vYTS(_In;=9)0Zx5b@i))+wwALN3XDiA?d}??_ ztm+5T3$yIwKZalz5b5%cN<~%Jxip2L%zdGszH_MVF%R&V$`2gkfrpxwM;V>YEp#I}MD&6eyp@1vV`(DQ-CRbi@@(ZCPO z``Q~LYr_SBZ*r@~65<0Aaa`&ibW~Es;7qY>HDz%Pn$R~^|6d@`e|o$b^H@O z`uoQ==c3-#=N5##r>qrE(>4U}3X;*eSBM0#%jGiL6gSa}j+9?-_IbLyJtrWTG9?HY z%Lk;&j7&0{!JEy)GvMLvHn-x}tv?8g)8^Wl%=EWj3(K|XMloW_o$aD_$H$PDo?cu# zT&j_I@GCl!Jh&JdnOa|dy&i25vC$pkYB0GI3)vXr?Jt3ZUn56k=#Nss?#I z8H@nbzd8fWYtuCy4jf<1yZ05R6z+$HJ`lF zi^EH~>u!c(ebc_idbvmT_lSk`YZ{T|ag`w21GUGGA`?3ocUI6Npp;%y^x@@%+1v|P zB%$9xy_-c_4&08wk7>(2J;SX@n*;TqFUL#l;!sh%=c}8I+me5UrCaH=8aBDa9S-a( zf_JkR&LYH!QJ5>;Op4_%6V^MXuW=)tPUA}z;M@1?7oiW!v9XVqEqes^*?$>TT(=eOI%cXLrK9rtOYN&VJKxS`aj%%XqT2D}MJ=Q{mN zYd*X2mEkkQz7iW2QLdYJuLOnOHuUDN#tbi3cykfrvAn(?Zf4>ZOh5nLif1m1SJ9b0 z_P(InELHr)Ge)GFH**l&I0Fb<%irvU=g`^MMCxgZ5?vY;M9YUDc{#0k!vR&0uypRM z(aOJ%$RfTmtdlQ)hrO`-x%D1)o!@or;CP(Jx5rNAIZ`;2T!)MtMwZr3mDQ92Qbi5B zvO}3-REQ|lel|z}&K#&@8gt?0sjZ{UqTBZp4TtBO$*qw_FLFD|j~Xi%GBlM8x>iG@ zhd84ZDlG0>sl+Qoeti?keJ7q7C_%*Z0ffV(UtJ_9~7}q;9}@y(Hzm-AP;Mi}Q(^qBp()0d`YR zMWZ*@SL-kE@=i`1(QrAW+?|HWjLkSecso?ie@@fQTJ`szLHZndr(#tXWWx z_Ep4!0slzPvxYYKb3KQ3(I6YWnhvx*Msn950tjPgwjNDjqlPuj8Csp+Dlj4CS5YrE zYJmz13TsOokl{W4JyZGe_EDdQwGmHX?9)=OvHMgl3e4p+tZLO7F4`qwaXuIuvtA^; zR}(X9)7%X?u$|h$_27#u+2La*?6Mjy{+oWk6!y2lg`7lv&`W1abU&qCP*brrtIgN< zCd-+`8V>88RsaQg>&EL0ioT-aj&2@lz0UUU5V4cAedc)60LykGE410APR&rVy0dkY zYEbM5x8w3o1K;=+QB1e~Xa!zz$Z);>N4hs|l{mz!_jyr%`3xI?*%BOyYm)tw6L>_J$vKH42WUUgQ3Py z^+)xjc@X#RS82sYig?q?c~~#5lQO&8hbQw2hyHAu>Z!X$w)Dmm8@Te^w$6?G&J^sO zAJ6o6vi47`EFxF+#Z6hrq9UqdSBX8^#Z;tj+0M3-Ls!4=ikDBAN|#J*aXGH;VjVsA zvvj+e%f{x6F{It^Den)jGLLmxuwiq{7(;muMHF`0)poLSv<*?3*GJsW?t7)NY?P`t z*2^?}d>U|D#``VG@+4f5S^8gQyq&sa4*|;+P49_tZ>?&EuO@flo9xRNCDjP=7orDw z)2KDC)Vb}q<)D6R$#FW2aq=3{Jk7iIXRfd_=E(pato<2HNM?2PRgzA*rp#15xDJ}(9 zIqq_c=w{R5AA1X!69ssoV0piZ21t#(YuN_~1OmGr+5P~A%DzUNx0BOFp21-#l^+L_W|#%Qwtakh3W*`+)w@5R`UA$b;w1;h3gHq!)94>%c|F^>+f}Z z=8}403fbBXmHvkdP%vR<&6I{Sm}%TnmP0oT$Yj+=xwLU~y+TB}frlyG<)sr_u?ehs z(qs1%b#B_-h+G(n^m81duv23)vUYvm$sB7f5LMV#|Bl!Buy5bvN|eadQ4#3(XPIKd ziBm~Ai>uOgSNqmCF8`{Gv583>U4_ysa8`hfscAk)Js+Pc>Nk{AQ;UaVoRSx-`|+=D z^?z)*ITWZnf^}_)>d3fqe5I<0bJUi_wTA;v0=%5z0Nr=eyaG_P^+2BVL!UBG zn9|0cubyTH=h$lE1F(sV^y)PUEh@#$Ch60b(^c&@knq@VKwgA5%qki$^L?n3C6A}i z(9Rn8`3u^WOIc`5qCnYqaJz`(IbXQw0lD%GKwqcCxOlVF+DR^~V1fykKLj!-9zt?T zN?HnvkM=cnV@9d`2A~Z@L<|)Zbgk4IW6pIN&#|yHZCA`0g&oAo*Mi&0Y;Kn#S3q$F zS|}Tt=gh=zVWQi5Z!C*WwZ2}rjEIQ87)3al(S&c+Lu7AYPv&M*jTFyoM-nlFlX0pS z29R)oW5uhf7-(9%i)|+H*;~cCIL)`Mg3srlfOV;$aDBb_=g%Mg4Ui@ekNGtD0yct1 z9Z2#6W3#yD7?G4zB*}kS_I^;2>QEEcC_RK4yV1!LpfuI2E%{zR8anj1~xJ9#^vch0uGF|tpDiV0m7 zD{_yV?arrW

`1_0J(n`PLlj7xM7^Yh|i+qJoONK(c21!AzwypxY=jd}B8482 z>2y9Q)fyELNWZYO#2^~BUGKvG>qfZLN)nxOC20ZqiwW^0qk*B{1i)WQU~WlG6v7va z(!XMs7FDZAOS9F12tlp9yomTl4)tKx6Zlp=`-T7dv=>A+`adH-A`=FI+bDQs%$Lwv z2(EYJR8(jH>JQK$#2Y{q!ShAT#DvyqgT_GT^+mLEdV2Qa?vv)07B=xK5nMLyFXc<- z{r#kZhiN`(SvJ4wRuHRTMu*oTY-op#Na7h2V~>F`^?B z&tiS2moLkY*}zc2zTEkEn>?VI#J4Gh|7ynq7A}C%sl~!(>~cU*@$A#h41gj{9lBhc zrjWuy3BDvr`e=YmJK-|x2a*|qTGAqtl5n90*>ARQgRjtN^959fQpz!M+>JLPPp{B- zJfM_UUy*jlVR&T~$;n2w=ZC`}eNT0Bp>K{K3QnZh#IgbrnT39~2J)-};FRH>a!1+4@vo791I9(n+ zjEDu=%ljTs!nousw>t^Fg64OGsNsgo@)!Jj=`E58xnRm+J2OS?n0B0s>N(e^YrSz` zpK&&UfM67eFsTU$3O+LfZM8K5z@~Ty*lx~_cZxvBT`tLW-w)_!;8-4k8(e{dPL`~V zR{K)MYaEJl^YUs;H<@G#&ZdGM&>Z;KwrcY353@=j#063)7Ywr{IRSinT2)B#^NzdKAOXD`<0T6oV?wXEw7|RhA*jT?s^c&1-!v$u#GHm zpaU8qkJ3GK{O?&l*CCo6C11y32YK_ltaz9!EbA$!Q-@7@dIm!VAQD@mQeaI;FG)v1S&A~f3AV^+#kNlsc@{~09r(<#41e7~9XblnppR)h zgduE-^3){@q;#6asnB3CinL+LAEW7$FTF3WxC)et-_a`Me{Y%_vT(oT@93%j47b^n zMN0voFkQ#@$?;@&j$*?5S2ExECNl_AQ2}iU)E}_?hX4hDzRk|7_e1<(wxGFCAJMR! z;Yv!l$z2buAmOLPjq9OW&?cq=(O0ViARCj#!AD>w&zFB0Z8HYo1G4l z#n;wtcIZ7kS>VLwdh)HrpyzwDF%&RivW1Bv<>g~}K7Ed-&)P3H8=Tk}UdzO@_}*_g z1W52p2hi1Z+7(*-hbEUTK^@(lgXs?zZl_m| z2~PtqsqnxbtA(Nukv>&<{PVlh^f7n5!rwfy4j`;pPS%_?4b9H7As6-GRKMGUB;^;AwsZG1Om(@e%O?aKF zS`&i8!nCEU%~JGJg5NPmOdc|)f8Hh}Ir7CS#%x@3&Wi*GHEbdwS?e`bKy&IzCCAo` zW_H<-9Eh?}KlP&`Ca&*ZdAwx}mK*Kk`?;y9GH}ll*!U}wZ-9;F_2#l|*?dYnGCm?* zuQNJNv6lsnkVT5Rz1{T||9O-3I;p#-sAgLQL>nO=a6sER#KGJFd`d(_1dtIXGTEZn zs~i6-s>o$9zTt+9{1EB0^W2UoZlvP5Yb=jd>btq0z+?yLagF<*Gbi~CzbAEyy>YZP zQ`2I+-f%oDbY6RFx>PW4MmaBHG5zb4_L~7lF!(J7hk-n8I_E3_4@v^`1Mn@jjL%Q2 z8^Mu{B4T24JJWS~Y;hA)y*~OLMp6#f-Dz-tS=;u~aQ0hz^Nxbi-d7R3VgFY522BAF zPNn2l&7r0goKkSAQ#l)8dQL>AkUBvpOvC3~_MX&6oj&W;ivV;?f+0}(Lp47dj1|a- zL2JlY>(p=(fbu29qu{vLQ3z4PXy@zfHeC&t5 zO=zSN4E8>hVU6VS#K`ZS(7D1Y6W6{h!coaGofQdTnYW)(Or@noge8pSD94v%QYQ+4 zho=1M1seYAH#>FLULrh%(4k@D2@m_SOX5z$q1`~#CG+mVlqNw;zYlWi>U1Gla)45x zm^GEOB@OA#0$2p8U;r2py#W9DzmcULY|>l2jtZ<@Mn*&>8}` z0h&yEod5Q_wgb-tK9B&!(imI0rYQN%N1nXN zbX2?H5J-y!e59%6%V1naHT_cI9gFE#7IqMTkbczFZQGLgKC`0;dI~bF7cr19@$mBU zaRz~42Ml01R zDVbv#&5Q$JKr9RE);qrTa*mDB4HMXp>EVJO7>0;`X{K0gHgz}!n<){uvs}};$#Sp7 zz*SU{TS4=c1OS^0#*|A>m~J0k`Wfjp_@8C)pXTQFHCvj;_u+IHELat`-q3sJ>?SjE zBZ+ExhUH=V>=4d1h5rR#_T2IQ)%W`Bcz3SJKyT69W)L@tZhF1rf8w9z%}rZO#^5d$ z5c(yV5M#nx>EXOcxK&Ck z$hSlVe4+Kw?9|k|xj8xVWKY+I>d{cVH|^s9jzQLU(@GY2PZ1=6FSX?Nh{S7S;;brlv@b*0Co|d1qoqrIRqTLM z_KHIL7L&E)x{aOonWGy7rd!@q#ajaS%fAAFhyP(y{wokjAcDYr15vE5|3yl}(r?T@ zxBoQz-h}D0;4ay63{^-H1>vyDP{?jHeZe5$OMPxpmjRc*Yoa5X zSwB_{=|Ij78Uc@z_dWDQ``eyk1)`ICDi*4c3&%3M*oT`52;7rbRZY{VbD`}pCjSk8 zQ!Egy`X_&ktn{HMq%=7XS!0;E+}a)o;cFEl7%^rVHD;<%RF+t6x++CLMPm%}VJv;lb~Mpf<#%hc*j#nY!~MY9OxLH4Qlt5xRiMwga(U~@$l9)c2PH%%A3Oj!g~HoUpVE*lIq;>Y zkIcpapbL0bM!d3dhgOS1#rAI1s;!-!&jIC7c%hXR5U-wXHFZo?%OfM7KS%W$%2H$q z>g|eXmbu2Z-|Ztd8!H!IT6%6hy0t!$6~fdSxcoUu@82OVF7i9BwHKAHd`T~^F0!h@ zX};vb43{P`A|gB=Znl9>Th(?3kZYX5EX}fFzyHq|BH7ko`v}4SoJ|lbHlDBSQrOs< zP)wdSf<2fi8%jKT$*u@g#>X`2u!tH~NnZ>i>7y>35~YH(UO^((wKM=bf-0xq2bbig z%gvl!rnshT7tok+gT~98N?q%5)!mj{ecpcpn7VY8lT$3qr`q)kFrfwo6uSDknho`E zvj7$OH0)+xJeh~7AIzRqI*x4xe_bphYuAz@drAx!wZ;cnHlL47{cW&eizAckNrwFk z>{SpgKS3g*qYbaIDcehIgZFi*CCS_19F5eHOuvDI)6?;oq?z3@V@QW~ad~Ag*w%li zlBhGi&Ox43}dmHs% zqCNT=T&bX;p$1O|Ubknm; ze)~OX00NZcUM^@+sFLAiqYs28gaY;m1G8Q0JFvUJo(Gg%b8EBF_0_wKj7*?wM1Zvd z){Gfnh+(v-eyOt88!1pL%Krn23SgP?C0PMzg!XYZ(bQytYJOOFSefamHEca5^OrXY z4LN5_+--Z~8JUUUvth}%Mf+g25KH86ab(K6M$B3XEhwzPVsm#*(ISfWvGD}z`xgNM zAQ}c~uxvQOA~a;XQwtOwcqYSzn{e8`agt;>rGy+6z5>}(X|kuGDFgv6?ix^M?GHQ9 zgXIqM3U=AvyPH!=wt#3Ctfo4pK1QrsZ z;fI!;OwrIyOXUQ=qSpy1Y1cM7Yg;%zmj{c{x}-ER*4lc=EOI0-gMm(VuV0(IdMYe^ zK24CDg=u8T8py)xoBp@JZ2Q^l+%7CEA|W!c+t~rxj8v4{I%r?Bw0wUnis&0sh$n#b ztDcuczIGgP6}5^8UN9{E{Y-c^tA1O7-B-H7HBHUJebGdA!jddsii#}9-EF3+xtU8% zEnk{cP$+CTUp;Hv&m66{P3qq^%8+1LXU2yLRp5gGlVupF{ln>VBEz#G;Br~l|u_Ym;uAcA^1CyGSRRCDg!T5Aw+l!*3@&7Ao z@lQS?z&*xFEqtmxNyHj;3Nv1*k!AbSq)nMC${I3ZkeTBj5-|9j*?@|ePXkB`uzS%+ zO^%;qW_vW$cS~$d7FiH&jh+_6T|cl-t$AbEK#aR5m~et{IkY^rwNoct#nL{aMvs{e zoX4?us;bk~Ocg%C!LhD+20VOuDWz;sOZD{b9e@JSn6%i!Bd_pb1Jd*n_4$&XCP$nK z2mWxm*#vC6CFpEf-JxCIWTI%m#MlJ3n3OmaK`k2*!>RBwZST36BQ}Gx)F4l@!TkdfXisG}4mqzaidhCQXX<{aPNWb5c(v-U zSa541ey~s%F72L=e2c30 z1J5f#92Ld8jA@1;q{568#J>N5ZL#hU40LtPfVTqWB@-)-00J$}vtBzJAQ^P9Lq4xe zzk7m)j&88Fiu3Fj2BF~yy8A)gH8hS^frhcaV3W2`%Kqs2`d}8u4SHM65g=TxQzGsl zMiFyMR_Ie!NkFUy-K$>Nu7P#eY|8+Kso7+uG%EyB0_gnrVq?cu1Ox=u`e!Z`(mn>6 z4&pX~rZi@3Z|}IOUd4uQAgOLC@WM`>g{8AvG!&4FMzQ6342o+i08*vq=nHteL25`v zMi}s&0iBe^{i4#|&b(sGcE;`aMzzmkUg0)qIKM2KJ3tEwG;-8b-;%vbZ|X>L{SX#$ zrBRZb=VoSn+gOCxWH)h|+ndrq`O7T9o4M+#0|uRu{lwNx<-ww_a8Lz2cIXU)j;L%N zA6ZON16idcyj~D^9`Z)W*hE&)WWt(5Gi+ zehlF~`TRw>r@*{4l3E29I>$h=()9B4PjCBoK=sIqKtGa|0NoeozI-{Xe=o5@A7 zlr_wb8|3fj*Z=*kx9wOTF$m8X;KxZ(r3;afl*Y8u-3*20b}@=2?F%}UKUOxAf8rOG zD^Ja>;Xrwhl>=AaR4yJN&fspzcmdi3VE+%WXRw%x&O`t#vOlvND-I6A5d#p8ma*D> zdSx&xkdRCFr}@>oc#y_mrkGK2QSXMw($@`Eo&}~Qvx&@W*F)*7_>m(5?!#@DILGAM zey#X`oa|TD#01~}lWutKc>W?I9cH8$2k5C@Zw^RREv{P<&}4ZX%E6)5t7FX`M)q;7 z3q~?Wzm~hJk77-@Yx#W#?LLxvx&)uBjCUnzPU^RHp$FhMPg=I~tk{npv3yj4jo8i--T0Ojj!uB1d_N>C<*Ft@uv z_T^(x;&RB>$q63W(}YM$WXXaZam4fL5^tS|$5u$S+)Ng*iSg}gK<)OlF2*zn@KVjr zd(nZXI_Hjt!=e;U5va(4udqE_KtnZJq(zm^SLbZxs-OAl1>vpF{$^#q2+q-KFy-{B+qz~9-|>rcCu9r6PmJvzV;_Wnm8*1Zpy_>iEYu*=f71-#wOC; zz};VCo63RnzQ*GXkD(`vKPT{>mVms(YV8)Wm~M(K+R-8y-i$2pl}AUFpr@BAmpv-t zZC!GJ9K4iwM2($0a}3;MWJE6tQcrfX=~qcb9~Ct@HRq(1HrUPO%rz1z#Ibvu;h`Xq zJL><}|I^hEhJtEK5X(6RA%rB7o=-#Jnl^bJsRAK0i^HGE3Ef;ri|*+=48nBc(O~`^ zpQ|m5a=J$FyKtnVJsg3f!Y1I>*dKebs1Bb$S-LhCmzOP4=`%wn&6w} z0p^u0+Jo7AO4k+Gn_<_9Ur^BWH_?rUy+O^K;{Fal$b|nFhQ#{6FeHlu+{Uo7TZRC+ zFg$`El~|aPMdoH=Vgjj1ej^w{C~KykU~FuHiTagHc&fUYhLc*jGm1g6DA5QPfMqga z;i{900s{#)xHpoIvzS)^J)7hiy%%rhN?l4&b>Cs0nU49x6(A zLP7y)b2Fe|geWwWDuCL!)!NHl`p0^_jPFj?oow_fCaPv{8aA8H^c23nXD1!c7`9jK3W!-l=uA6ty6An(MDy# zTU0|3j2Kv-o#2!yDjxC&`1b|McA*Q-`tLJ(SYS2!TU=YCRi)i90{fZ^_XfT(n9-$N z-}zBa*`{OD5r|i9U73dDy72*vnSfK}6`t68H1?{HOK#f1ceRG8QR2w^#=Ek0rC#w>;)pi!qUAF5ns0ev<56ffFg(UKb8VP z2mGnhae`9+U$a_8Hjc8-~q{eyt!v(l$#T#a!8#8#NZ6W0HEyyik-!?7T0(u6JiT2k`I*xg! z3@7A4h$v4|OlMMMA|R-lY>II#lba&E3;E^_tFHiglV9yR6CTg+5V5V?Wkw_9(^$w{ zTHR9AG(F)VKOaXQx!wM5K46;&nC@{SbvaEwE&xhQOCRD>IhssUId0*XTc$QYF=dKl zwOWoO$c3k%*$M#RVdr7W>|g2SOhC_Qh)q2dr>5*Qw+8%$o7*Au86#Gf)XG6vq1BgH z*U~Mc)G8+h8*&QPAFg3_n$$X*n7sS51>;pqQ(wQByKKOXe)WFY5dQx8@_gme2v!pX z-|<_Wi~xF~voKl0t=56fEfBUSv7YmT!=cH*m-gdT_1{kP*&)9hD2+2Nb5?xw4`Dzb z1F{Ynh{|GrZn4@~+hvAat)LRf*{Rsg^D0j`w6!y&vUP&QDNbo<4=2wdDkT|(>f*f9 zKLO?OT6!%()^Yf@I~)lyH+M0tkP;gInWAHsB@szh@c)D47fVFp>Kq3$a)90xibf+K z0bt>Sxd#BNZ!=vEy|oA9RWDfKeQk7-Rv|D~ye#7=HTHfIDd!U_hLgaj`wh-t(R!V( z19I0ItkTGgCPx|Rj@WJ6wDf`r3jiwM?TfK-*-b=)jcT~KJ7?f(KH;z_rKcAOia~bx z)Hm9`bBEjfz(|k17}c{xoy1mvY*wY#ffx4Xr@cQ)U=!mCbE&!`4t^HM=GeY zHK@zd4!{SHrP}9t2bbA8-F|uHnd!}dSW27NA?;RpoH?fT&YZRD6u6sP+>oVCNd8aj zL*XxVZ^RuCzNE~|6TrR>0%?3*or7MfB&WG<)?5j=tlE_*hdVP;*PtZuc!^nDM<*@N z`%nM_>ILHz5O6!dA#kCA>)z>@)^_O;r)ydHDd=(hl#PB^yTFf6*5Tg~Bg=|6LqE_-t>>XmDv$DWEgde675xpvQIcmg9$xclq1 z>6D^KB%XmYRpH-HgdtJQ!>U0_hBEVWy?9nLvS}QB46~|nxt0sY>XtQw3xt!`hu9J! zF4`TVGgAal>SI=%s3L3g7Xnhh__aUSoUXwV<>va_Ink@}^rF^vOa>pEhd`Q^1`<5J zOzHbl`5PL=+zoamVHv5I_MxM_$5<$$fD-g^~H%UbWx@b`7s(r$;$U5B|;# z0)pHI$B-c>f~Q3D>>LBOm2O+mb2?&ayIyKgX(D9dhrPd5=c=JaD_28n5xhNVt-JG` z3=6s4aeY#nL=H)o{i9m1wTxW2$A5p&%o_9qB}BCi4Y4JnAE9U6B@Dqrkdl}8Yx#;| z>T;yql`#03+<@+*td7oaoA_9+yTV~Vbyo0pxtZT%3RF%Zq9ObvF+hr}Mbz#nS#s|2 zH@M%o*gg^cv(N5uL9QR8mPygF+mV#?o7ThqA-t!P`;q5^Ps9J|(T{|9Y^#MrO2MBn zHqt<6bd^NE#~zv|e}akXIUZCfhRG+&(^U+PJ7g%`ub<$L1>km^8pKl z0mm-)H65Ulpm500+J8<>P$lB)BAErzV^_RrH9O|%vD2s1E(g!W!~^Gkix##-H(b3@ zxp&e{%KvAgsKIj`_t~&|84f6i`A)Xe)!6BuvG{x#=wx|pAy^DkAQeMN!lu1*0*xCy zksrYk!imUH$nidPUHDb3CiNpo-_{fpoO5B)t4^TS^166}m5n92IOR@fPt2e4l|=7u zb6<%(U%6mIxy482b z0UWmUCL`*&rMh#?GfZ)gsQ%pM)tZt3r>9?FvC^wwz51n9tHBns>nv(kP#$6Y;MKX1q=5TXL&DPDR61fz8h7@$sXEG{0XTL$V$e_|PyS@~xJ z0D~0DjA_lLt^9%@Z#YE>A(;h8~Zvdhq_YZF%rCp5P)XAX6@5JeU@nRS}JT2=l{t#PMtsbe2`N^20q0+uoNoB`}dv;&8kQ$*;a{8Lu z-L09S^GKsep;fz!qUF^N!Ev&~WVo$n8Xu;*;lfu5)5*RVOVzKRelCrhkVKJB@HLhM z2Y(@K0%gzQ=QjaY_J50g7ljZ4g@2+PhG#ghlqA`9 z25qjc^=2dE!PfKVJD)sgcBD8zf8a{gtTOJ^CUCJHZ`UqvBQ#hG^i``cVzQzdSx6o7 zGtaZ#6e3>!BR2j+PKOw(6h@1^LNfo3dJL;-L2R4Pr;O37_(xDsOo1CgNcSVQUyXRL z>BJVQ(mYy@`44ss2iPO0{VehO#$rzE5!fmYPNvKa^tVQP27{RX-Ok4!@Igbr@r142 z-LyqY5UVY)RzDuQO4cyvU!-xh;M@4oj!nB#PjBy4BWH#>79F#3u3gT!G&F)T?WsD> z=d0;{L0c)n?hb4BnCD)Jo_@r7#4M{EZPn_`ij6WlO{XK7* zjsE%N{2RpA(nFZPYs}ax)HBmJ>;x~vlk7tmK54X7vBuRg2KcBQoA7NJ@U^m!MPF2S z)SHRbw>F#3Zbv%IjU-)Aeh~iS;l9sN4>^kKqhirxkBY>%TtPOTbh_hL^&i{j3+PMf$aD|u=pVMKrrdYk;Y#3Bm6oX~eQ~LL}JkC*8 zf;^5cKihAW;Go<+fA5k0k@!E`ZjH#y%1M8xF(YJjFT8iSONhMw^xSH*Qt=Uj6pfRi zo%1>l$!A?B#Raer>c-yMi&Nhg@+h!q9XvS6s&Fq|+&dI@Z)Q zH{MD*d(Uz<4Wn;pAaZWyd6{}KvD6Oaq6LW(>a^94yun?iW`j*uN`7)-o8 zrF`iqZ&GLJ-527A%pn08nucB9gF;>Mt?LMvWD!t250Yvh-)pJ9gWyrWhhu6u_C5OG z<((fdfq?yMFoBU%k0R^g%3PC(JyA%_GDH z_f{`Oj4DRs=XzT@L#3lbEepXgV=7MEv@fpz*1d+}hFk z&FK~GqVa3LRk3}%NJG(gi@o7~)F*@dZI$=PFa2Y-x=MaZCjDC2;o2LzS6F6G0PzP4 zg%V*l4=dN`@ss}$qYrNpU;iDWuE)4OD4N?RPYKUvS00l;;g#hrIogO>|0POUv52@I>e_E)V%t-`qrvPawwi{##-ygq=yK53pxiOqm<(2zc5LX~lp2@}x}do+mPDR|7s zR*Gnej$N9u@^(_Dz;lrFlkPa)Gp6br%Y|mZJ62DJH@!}SLBo0JIkt(3)oDibn*y0% z`V~5shWB}T?;}_IeTr|&tXbWlRsZGcYx@WRZabEPllE>1c7==8 z%Coee)g;JT!ArV+`Dr>j95(v6s)bcAUTxSQLk(G;eBPR)BP(^rwoZQ4U?3v6@6G8r zE|&NjTsmovXZ~_1DnKdHk}A;oRA2pYW|}QgS~->K*9PpjacSyrt#38rC69ai?|=5> zZ#a4SqQ^quz1#bal79?L_)^$MgKsApHG|;|mlg<(SQh8+dT7(-_WRZkhnSh}A1^bi zKAuZ_rZh74{NXval76u4S5AqsWu#VSgP*U6^rEb%!XuSC?6Qv9++yy8W|qocSy>zD zgh3-)?v3%SckUa7SPy$*xE|h#RZ$rn7tBy-+Iq3CkR{zp)pH7y;ozmu=6HVOvGi=n zNWA?RhagD#XykahDQcraR}G^;B1s-ytESr@jXw^RK6kkIWKSI8XxNH*bnG*}69sXe z^e`g7K!^M&3pg1jYT4b(LbShhdyb%qt`#Zb16e5y=0I(=(n@_=4>ACFjxQcrR;zJj z6`%#Yc;zXP6*NjA4~`HxZhnL{w?x1VicSA4E|pan`_r}8RoaVya5YEdoXz;J4dk10 zr=-VtYz)Fh9Ocy`1k3a2hKK`^P=r@Wx){1O)l@S?Z@VZ+mTmDvYF!^T?jaF_mB1*v zC0cpsO$4QNj7%WnYlO-3^_jW$yA5{{E;`Td?S`zl(XJge(<3OFD4W)GfAgUrH>H1Y z%**P3_Y$!llJk(ga2VNvn|J%yrDVw5VdXsp5~Nx9GR9v9UQ7R|6jABqmi@x)T+^sS zG9EaI{E>=kv8&NNV`F24HcZc6mCyZqjDbNxa3819Dn7r&FUA{5>(&}4nXkax2|VdJ zC~Sk#82q;Tocw!LiI`ts&5UtI^V3z7!wkT4`P4wVeud5SKn*k-JSXVa_-vgg4T6m@;rH7j@*S0 z%7xCVlH{2y%jkIW=p#OkwT^%&Rn|_TEd9j-Q7hvX=0a3||8%gO$w(U)@N52*1f$!Q zRiqss8g1@ux7dJkwi|`jGUZY(i=&Kzfx+`paptK04OKL8f4$(2P~Fd_mDqT7^v;^{ zLtGk>j_R3*Xk8iTr1w*^+l3IW#z*Q=5KgwjC8ZwquzVuq^0F*0RsOL?7X6;289S!n z%OjR$L%i>TDHJ@{R9#^2C}CXE(s}CRLg^oHG|r~RvGT6=bS)0MIAnH=w0F+N3>iaw)gltHh0YVPd=)bP~tEBlKrxEZ`XVI?8Sn z{i;A2rtoK`Bp8F_s)x@+bJyakHtko#a?A7&ew{7L-CnI953h0@6?cou&VLKs4SV@5 z_2XOd@7SePEb~MkU9O@;>O>)R2C`E*(;15JzHO&OA3yH9a*j^5c@<=p%dYOhRLYp3 z{w>ZTe!RA_Qu|83t0+DsT$XZgZ?~0$$sN-G`ig$dhHDASiwGk8qb+j5kQ=_?G(Z8F2G~w~1A#iRIt{5eZr|GU?jq>=svo zXd~O#!Pp8Ls&OTvnYaG#n%@Ql3Vriwge&KDoebn_A3bGd25Knu4jDo;$1;zv2c2`9 zceZT}y`s%a@h8MH#A=V8ysOL`r0lV^f3AP!fD)TOnMxY&MvNz$$%c}Q-p zZszwCg9ibunu}$1!=Tv-7JU9eD=o2UdU|svH=69h;NW1N5d;AtvLl-Ibn1B87pm|5 zF2ysa{Dq?V_!p2+P^tHdH;cfc?tq?xg3@ZN8IN?hK&>7Xz%ir6Hk9;dT|P$9;+TYA zQ`q3^<(u{I%3X~yBXA9;Dp)DE>jEyy3_rllml6*|l@T>xf z_gQC7QRy$W4{p(qc8YA@e_Q5mHA&g`j=l48HY0i^`VH6Je&i1EM-U~~waCu4mM+}$ zcWe~r3$`DRsOug|PrBA`y~wvv@l_G0L#<6&T-VIgVbp)76LlQy(#O3mTwNqh)S+K$ z^?tsp^)+g_nv3|Zi=F`Ta8d=E(HN+-VfW{FIg`S5I}`6&VZ%jOfOnE?r1%Z+^%nDm z>=ymd@K9Im0r6XoFE1-e8)}eO?YPFyLnOt1>z6juQA*X52`iOp@f|u7h*NxiDe`b1 zf!+#{kV|jpff~A7LK>6d4v#Lms~+wO2hS0J0;2RJsyv==(~+GlY4r`P{gN)J!x6nPtvW!L|Wg{Dl1pfP|7PuW%Ko> zgMFXl=_W#dE&C(MsUYa3>n z66iBe^JB1OCfoFF2GGArZ1_O4IcQKq54VqBqyDT@ZOjJY&k*TeZ5!^8iIJR~XSY55 zmhM;=uIJFRcK`Rnvy`Jfzj)$F_hR`l&nmj^k`R6l762;dq)!NieL3TjRndR|-0f8- z1>N9tJ9O*sWDmqXEA^zVo(F|xt0g+Td%WuK;O*mPVF?~jkD~)$%cdy0AM6f<5Guxn z^r!gM)fXu?+{_G=wXZlyK4#i=eE1N$$Uay)O{9TRd|D()RGhOtkA^A_5S+;=)|>VK*~eE#|J{wnXK(YrlnP$bhZo84<=Nnlo*CQ?{HzHvuB z?spt}zx!TCB-w*7GUQ%Sb9JXgU#PM7%lqJ9U&0Vd?F5;a+7P+g0JviJkBYzFn3ksF zc;QEB*$q|Hfpy3aAYwh0TW2bw;h{mnrZKx!NHN{dOX3J=Rc( z7*G_3_ZuDO`0%Djd-`{KMh=QLl-u3|<@NjiZ{g7`i12VLmQ2&gnq76-pK%>ZXA8ZI?{)`&6d-o%f=TDOjV>|A$sfR`4QOOE5sj|OZMfuIh zjgP*|gW=nRRbQ2wiiqEeJfT*Ou!-fUPOtc-5gkFLfQ<^lfJ7lHh=d6f@@MQ00zTy( zHA8~V3GXCMiHF;*B{E_-2(vK6K*dKzbuY|)(F60MQ18Btc)U82dupq>| zd2KMu>Jl;{-TC5v#~plcM2qQaTdxNJSh*Kw0ot@8b{y|ZSn2=*R8$k(9zh#NSb={s%30%zivh=3TIb%OO-Kf7M`1J_J z|8Dtl`TsEXmO*s}U6<%NxVyW%2KS)B34ss@t^tC(ySuv++}+)S2X_tb?#z?-n{Q_B zy;ZlS>eTsjs-UX7clX|Ft-Us8R~_RYoc~@e(FB4{w5dzzs(e~S;0~f)(~YCzoK2lt z{LFgn;zza_F^9k%c>nX`aKd>>+G-g$S+fZN1mE|ATel894Q@O;K4Rsse>Wy}F7*ry zDAY+duTd&XN=AxVfztwvT1-pxTR27z>x!f5*|~**-u!0`jSf*wCxiZ%8l#NstEWvd zjQ>VFd@T{9=#}@rI{fE=K^+&PpHZXVaP~=DI*?Wsi3QatGgN5U8u^T<;0$o$V?i(B zx-S$asX(&k>FrFK_4YdRFkl$HW!-g!O^1bGz9ncF_4qk!7##uPcoz;eH3_>@XpUYc zv-JaOQH=d)+&tdR*Gk61b^3&OA2v*u;eJh=PB~yMmv-hO_7CZoaj*9MybQZym0Glk zz;cz`({L?;8T@K?N)GQFLCnbDXta{O!4A`1acp zc%Iut+InB>4Z@`7qZL1~Gl9$k;XFhez&dW(eNg@{q)z$~D zP)qmlo-uRLXHDhnvBluc#GcZ~WzX_kaYc)Ybw#6{pzs$(tBZ-iS{8Y`L*b)!AG) z=e;;0=aH(o>K(13d` zs6aqJ9!*M}too~B_T{f-z2a@o-gg*rY)G5M(@kEMgOcCZg6c`gRy(q^sx=}|T%)xq z84*~G8cE2){*zLw$bc0EU5y$jX5IeH#h5~}bISofnbMYyN=j&HPYMpInrN zaog1cdwC||D50lW>7u2qj-$keP%FFtcsyfTkQ0{B@IKrb0yMAnI65m}k~%YEz!Kw} z#fMeeNECYvpKWor`<-fBGP5RM4ae;g)IaViLgv_)ZEKyuF1j6`6^KQDDMV!pU_dGNUnu*PFv!az>lTG!7*Q@@ zCzENKCWMi*$ulC;rMgxphQF|<`c zrH|g5*SGc2($WNiuxj^ppQ^vYyU}#^XtM=U7%WiB90(VyC2RY383PJo7qjF){S8?y z2{&qqc+4(n6{rH&;LBLC+S}P`M+D4ILyVO2N655Jl?AourOb+Jg}!vf{;rL!7_Tu{ zbz~xZTw%&-3a2=>PJZ};HY78prk?x#ipJvA@*;wnd}KyS>e zH|jWYu>k5mWy`yb9;_n}!-V{1rIYnwm9kf5QS=x=(;X z=w1)#PfySK&b&F(rT`}weEV}jtl*3OO5LRXbT71ervp7`b7?>O(@Gq*daaA?>iJ;; zkkTnfQDGY9FT|6{p-JeUe4$gVA%jErGip9ameJ8Bn}<}p3C6Bu!vh)Zl@OrH#QEiB z%t#JgSNG!BN5xSM1X!cce#c1slF=oVKlt?5{^H4fXlwj~E-)xAP`1ENsv@ZW3UutN zI8=bNpIQEj7v!s0bls5uUax`DgSHs@`>PM+sBEp|(#1=sUErEl?m`P$7}6>UiW4lV zvXQ}2;ZJ_JZ^o<{v@Dd6z|#7((;QY*RSk)FuS8_OZv?Y_;18OZ^a%PcI27|BmvG&D z4xxvF7{59% zK%NsSsA8eh_gK%KI^Kh1Dc|fV(>Dlpf+ULN$FAu{($74Hz$=6yRoKB!p1(3FObGC5 z$k9XLTe0XTZpX}^?q&OLLkT;#ZkK-;tGd-S!$(;=pv)5yxZ8;R#l?GIeXsW#yHk9F zgEIaVL1EyQ^_uD9JYdBI+R#^pK9@}72a(Czzrcupq`e14(Mp-vZwxZJ!ub(eWS|X~ zB8`3xbS(|)WAg!mKDZAYwe#n`^y|~_x#Qa&PijzEGUxV1Nhq6Uj3Cqp%%ao0j^cab zY`+mxq)J0P09GBg`suF-o6K?5+G`_tBv0^eo2Z9JQ_;EON>ZlAG}>H+jlf!pTo4bL zVoY7^f|`K93aq|bI)gdOL%VOy=2u=NZ8#S$BWbw2fRS|23u*KlY*27;cMTB;zD;B? z@_L~vSS&Y3ZIW6)%jc#%iOi0_0YQ$yAeQe!3Cy4|Y>3Uc6u>o+{Ou>pkr90!KlB}~ z$$DqNiU`LQCQAm{hl2dnAtkHIf#$Vvfo#0MIc$1R9TVbzh6($&Fx%TGY7)9BWk+ew zA1vZcWTXxz{j7u@eIog0#+e4!bHDnv>_chMf0nhjpwWa^hIi+o#Y8588bSh5!fypx zrzC^u140U2#c?l!AhzGP153lR^ch7MxQn zmJKiEv#6#%^j?Q#RyUOL_U^10yq}Md`@J6E%$+hL2y6KxGZ2`U^@VXiHs?We0-paB z>iRnkx)#K?gr4V3ZrEz8W3#{61eg|4>0J!mmwFk;pNPj z`(L}b^hWaMqx-F7Iu#pa?R$z<(1}%`%q<+ni0Owx0w!<($IWhCDYDN@$~Yy(nk;v` zn$$c>ou)4dJt{k8zWTXLFePnXA|P;HO}&TnJTTuHn+r=cfsPN;2QW{*s0;Q&=wbdB z)r{P_zUN-&8#X=AxHO*WVZ$i)W5HL{-(%zhv~tNZYcVY2kNF)PHKvx#SW5Z-=4Iv` ze`CVxKTNa(C1Wj3WV)~_4b#)6+N758k2JCFWz5sBb89rk1Ilgro)fuN?!ouFaOmAv zIjp4rhe+l?|Nei9WF9ij*v)V+XWi$28EaIi0rCT)B9sCGB_emQ>6$$ks?$o%4{opQ z-AQ;Icnip|F8+km&eE(7y$?}!B!$vfI#Piq33y@EPwBAC3Dr;Wc-KkDhan!ZjS)lj zP}h`}RRVmR__Tr3?JJcJAJ!1;ZmEX{6Gv4*s+PK+t4c>EgQ1=jsDo>U2=M55G_Pzk z#Fla(vK_WRmuv@)Y`bKrHCicj&#FgQe<0Q4{>xfzU^?R*Gm74f_xZ_ZZ2u+Gjmy~+{HHR`A7@^32^F3-$@x5cX?P{XVeEQs}zYOQqLaM z^B>HheN7NVZ6c#$){OfQF9*@W&B3gK=9Z({_~#?jS6*1WKC@zmPdTM{^k&LD#H&%# z)AMIGAIn0=f0WLc`(<^1q+77M$oewiFX~pRY3NBzaBZ`ZCAZatmx63i-M0nOEb$q3 zt0k?oqCdGuKx`t^(?HJ~7AuImqIrYS(}15#yn{ne*`Yc(c4y<`OTGeQO2Efqf?axg z10PNzd2;8o7miM-_Dwm>BD0$o)gd`n~dTzJ1I{>rW$M$(~}X z{pSe}MJ`y55|vuJKNTwECqL+y@#JE4`uZl@!wbE7s=rq(J`S?TD`W!6iMXXDGZw-| zMeEQ`&*CDl&e|mb10zGV?GDVr?URP%+kb#~T^FIuu%13$w(a2YdNsJAw%KufpL)->#$ zO2egLcZvPo)C6O~8-6B@`j`ke_J}!C%@pV;x@ha*KK!Q>hkk964&AXeF% zMD^5)xR5^nE0}myOsgdHH+&~og$_)OIGGJ!X!zs+U#K zo#L%m5w~U#O>;0LLSth=W45tvYp-)aO{(jB>iDpZ%4BM01|GFVgW{2XA4g4q5JwWagpvT@QeYQo`2wYWVuuvDcrFLEG`(3?-LvhfzNB~u%}`L{6!1VNxJ8OL}UH}C%+iP1hJ3hX%XspSFRoPU-|2Y@wB ziKF0DT<_^yjcWaq!et!rQEUFPG`Hy9oy9^S5)9!g`?g6j^XR*{H{?kTpQAeDWhBr15uWadQeN;#XIh&cwi;_(30tSbEEV@42CU-$8?RJmcT z!*m=-y{O1vOd`i4e>z2(<%>>902$ncTSw0aV%y%WAjAtkaurc-Q520FHdmt#9hkhF zz#;R1;|Ta|2RWH#I5iH0HNU1SiWd`x;h_7-)ep5jX5m=BiBM?k2`q2qX%Ies5XA|> z`gZz3a>+={irkE^Xi(Q0heb4UZa;(Ysp9hn*2}8a2Hv9pg@?Ab%53Xk^{dD6Q_$1p zU+cBdP!6G~<96Fhvlc|uiftjA7AzZgu>~T9TR1IB!@47Ad_Owa4;Vf`FJ0I!PqJV% z>$(%6j_a+l$6J!-XKe*nu`B4mpeX9Eol6b@K1PqUGt9KDirO0U^cj^zbMPFhMK|J2 zY2wC3^j{pHQPh|&4f^_oKC#MuY$jXz3NQyo2D?jvT4R}7OQl*%DV0R?^zqlDgI>Q! z$OX&(y97wWS{Ly@l_(p&2Pz>IsLRcspzDY~ewvcMK^D2-%eoRt z(m(EK#;v*Xzo;YD^F?3&cz9r_7l4uiIA|&x8)ro5$VkEpJ$6_>j&F-w}Q zwD`o#P-#M5uaCu!&(OBO*7hA@BB(Nam9iYW7K9S@89E*~7?vJ;k`G)yc=mT00-!E@ z#D>r#EIJ-^f(Dp8UX;$uK0M_{G(U^nEnfJHL70L;n8-sciCn@v0CgGMPn3U9kv!E` zt#>F|RUB~lqhKDALGS%33<%XeI2gzds!ekjwL|H*=+xd3xr|JxF&uFgm(M&*YWure zy)sm`-4J-xpXYCKdx}H$Op>@v@o<8u`}AF0@WjRYF360$pM#(J?v7DcCd0pZzY3~H z1+L`#&FuxQ?4;Mp2I78?Ou4?8a?50-!KyDU#O(zE8Z>2DBP!6stZLD!$;ftHwb7-< zZ13aXyWoNWi;6EzD6YznZ&%#$a+SZ~6O1oKTzG;Pgmg461A2=22NDwXGwqfX?T)c7 zwiOCvQ;eg$mmE({m=-hS(>VoS5DqhOZOcD!eAuJnF05k=-ubX$&n8Yt*_I(c!Q5B- zrv!5;W$Z-mMxPGW=FQb}pAfhj{JvKNtq6ts!*)d<9_~z;s?RPwdkrhe6Agr7eq!Ui zVOU;y$2NCl2Ii#HTt{j*?;Vwye09&b3h$0yH<3t88bH-a=?{NCslCIdW`6*!>|Ew} z+n~Mr`>VGY@PYLH??|^MkAI}w;UesneOh|8`2Ye?l3HqcJ91^P{8%=|45Z$8oPjea zl%bxLjZ3H*i!HZM#+qSa6$-WF&ERXg3fbYth{#;Ft3%vH+|WrZok zP{l;Qm{kbq+mhB)PxjBS>sXhme(^Fby*1{^R$oW)ycP{9RH;U3>J@1GReW5Q^~}vV z7us>lWezq^ETWQJa|pS6OLPrJvt&m6zQ1%rEuabS@($BWeg5deI?p78`+hUyt#H14 zbeA)N=ZdV|N#{Vkv{oVUegR55CYTnPMNQ7R&~*k!!`i@OU}X@);M27B?1uBs=~)bZ zg56NEcz)ToYsRfEwSzhnJ#zM=qsE$rDu^t|Si2hAyDIKtQew6r$s~16kWw;{b9+^n zK5w@>VXABKm>{2XqBhkX9vrS_m9aqi3t}OH^!HE6YRoGsfsfmjbZ&y?UV&%QMyRh3 zSl@GCW-I%~nDa5iP%s&_R=S|UdIwcj=z z0ACj8?G>NQwAIBO==u(05j^}bIFiN-UXs?j2`gt>K$4=I-XM)?@hd4qW2ZNoUe#j(!Jd2jQw9Wh9VF=|S)|P*+ zs=A(zLe9q(6dqO;cxbe|AE|L+MT-8(DDu!2Po2~&=5uJ7H!;o2%F5~(cm$Iz9%?P1 z^?)iNl(+W>*{&U#x2@E!%;$03eW$U$l1}A_h@r5CLxctffRzILlx2!JQaA5{NTAJU z&0UP#7*~cYr}m~Gd1nM`KW=N)E(I~;NWxg5!d4~w4)sa8bV5%Nlz+~i3*>;jCRe~w z+7-WDZQ2Rz0uk!TjA9HVTU1t$mmRQEGg!}e@b=NmN-M6j! zY?;crp+UOR2R2z=2V5Q=daNWKC*bz0!E2CD>l1(ZcRS%ukC}xjQ}aRpXd$!(uj+EF zOFN`|e8_*I)i^-%hEcQ%m0DT(=U(#YDi}_|k;SetUQ>-di_|wlh>_W(n+Wa4mDWJc z!%uote{t@h6U3#I;TEOciBqfjUkeh)cQx2nYk(R zh6B=+7CbE`^%RbF-s+3crt}rp7b|BIRBE24Yt9gW?}!81Rd&J}mhz@eZT7}eGZ0Hd z1#O8@KIw>Yxp%Bb{6&1G}Np08~BfnoP+O%ma?p->uRRKJtNhV`?H z%Fm%Wm2bLFw>ItQ4FdFl_{+PbD$h{GBF}AE?z8O^E^|S>;m7?Q*%RB@JUT>6Yy4N5 zHbm95?Dq4wuYK5#OBkIn=h^Eaup6~zQ_$6R7Zpts9@S$F;~NNN(MB2R8e%@W zSD-Th1}vHY2dFXljdwG@#jJ(}9)8f+r~J{DV#y@w;KlKm+a&dMW}WC^=u3`aBD|5I zQF#;ANXz&yO>_Lkl#BWeZ6GtR0@?uSusT<4+g`EcY1qxIz662I&8cqg@1Lx8vBU6h zzf>A5%gD3`Ub#!3l=kDm%!=@u1=N&x*e(4d`GxOnV>hye7GcW+ylS`6CVp-md*w^kWr-NfR zo59hsa$2^1pUOkMv26r@OdyyfQuuz+kl9I`_CBDez`(*xX>A0=j`YWko>@^lk=`s} z@~wA)rv8k5G?JvlHu(I~;aY*tZz%G1?J5z{?JEuA``G2VpKU9-k7IGR>UXL=DUqtm-&f`5NQ^wu88$DGqu-!(tt(FOEElE z9w}1J+)u;UFm4goz8OM(mzbvb;e*DRZrcAM&@t@c{ZgoHVp;d35wdYn{$ZTCj!f3k ztC5MIcekWOir?@XNq;?2bu<)_yJKv*0-3Hl5d1(Ykti`}SgJr2+_kt-!&lhLf3{(w zlEOxE%!vHV7)n7UBeoHO3xf3TL35O*KaKefbTdvp2kL+}zmn^-E!S}q6C58;tLrM+ z7sE~e2USC-kkhkLFEbgmGceyjyIhLCcxDW2Dj(SBnHH{ZY|JYv`Z2%CfjJ;< ztb$z6b*bBrt^p4T3CT9c34s^gHr^$;*QT~^pN~9FlX^XC+($bk(tVp=1qC8DCQY6CCR~bV)t*b=eT7q|EXXRiods z2L!B24GYkeYx%vx-dI#o^!~j3sb-&k{ls1Pa3WWGerdP6H##`Xr^a$B*KV6y?%^E( zMjU?T*(jbfZ(aimYFVI6U}v93mXSSyW|HZC;DxMkA_3_h$Tp+}r~tKI`6iK`D~esT6z@qL zuy~YA@{wi;qX5{IaeDBDpZ*e@XsRgD`5qSMe5!%O;>CF>Qb)qiy0Kg>b0{&>HVYDY zWP#5bMf7qiJ+&AN$WhG{)lO$R>ZrOp7yL4%HO;Qxqs;rPqF8Z3fo`U=xwyf3;+v>Z zcRl1T+h=XScmF6pF>pz!2lux(7*fU@7ZIzER+0=jesud z{11X_rqhMZ$5g}>@2w#@YP9{McH@j6aq@6|5yyZM z{RO!XGUP@6Isk|Dgie?Er(94$CN4*ld`%?%#g%pL7>6 z+vGoOpLchE91BO-1xVTPh|a=EWa@)du==7@_|ga`#= zt9SHM9yePR;SNPT>)e?ZCw6Cj^Uuwqx9F@Ta1TNwe|xH2sM+zf`8A1q^k~zp9B;dV zMZ4ejtJg@;dGKe|sf4jH_0bWxf$0G@ z5w8uFPe6-3`VCYP)#i+n@9Q)E!R__bR5d(Eui?Axy6ewka)9gF?dHp|;)Uxh*)w%PKA_oyG1~c!u^)=wJVSYqx=9Lfx{q#VJC~LGa zsW@`zcP{8f#8z_k#t+21N{d_F9)p4w%&)4(rZ`dZ}8K(vM z`{BBIw8`~t>=3#hU4o1YfLwlulGL zC!zro$Yd?v#&F6lbdR5{{!^6V@ z{kocS=A`XXx#s3%rih<~zv#KYBP^c#8pejoe4yE_Wc)fjh*e#2ZK~Tlsx-HT_1;0o z$<5iVH?;#mPr#VJkD4nY`E4tHug}q254Jd5T%9&kJ3CA#PUvo)j1$5uNI}XE_v}Es zU+%A!?o(*5*C@y;5w78W9 zZyN;?YX+w9rw1`)`QU$`_>FL!KOl)rcSM79Kn zOn^IE>=8+tk42H9ZlEPMfElB?J&O?vxZ3`VB+Gu2Yu=&d?nyo!n8chkaCulcXj9bx zib9ox9uMDTjZVF|ba>0CcRtbkbg%<9001ndrAV~skM<0vjCNcj8tEb!8~zarTFQn@ zabq~wYQLm-IZWN}z80K4VgTyJE;hjzf}mh$AaJli@ZV1bnY5b0x3|f@`dBvnnJFnL z-H|+6BKeLB#|&g94`)1xIBF~Sf^U_WN}e(6eZQ56yPl>$@{gxYpC6PP`mwH$a`+lZ-wK7JdC zm#PX1x$U?c>$fL;=Ji-v|7klTIb>6NJ=>ko9?h737=aCdpmVy3fMeunNWZ>r6OA(RA z7-yZO+sASmQWBe`+5E+{BA*?yZFM6fiXo|5t+|2|XnF3!@>97RHURN^90D4YuM0;n zuNbTHN=pHwkbm2d-}!dcyrQI}WN2Uj@y%i#%D;y#-w~I*H!gRY?U|KfrH$hotBx=o z6!d0hTdU2>?nsIy&{5h)ZA(FT3iJhqj>xRqtTotbcUbOUzo7w`3+c}m zGzU4LH?MAEkP0t80kZi5Aj^PWwuSB6OzWJgDpa=)5}<@a2s~F?+qvvEsp~Os=mjpk zAm)Yf=7)5~L5o9Iv?f)?%^PXF)Vsle2rB`1`1$hh*fmTs*^DGRn3#Y9lzy*qEL7uu zn)caL51cIv^StIz5#c+NX}Slyy1^?(tNNc+OC&~ zu&R$QE%;3W289-YsRDF<${nB+Ws-7`CAH7qA_?<9c!{}V)Qvg7k*oxAnwZ4�rmb z1VQOtfoN(A3i4qP91jNO4h~3(7!Az7Ln<3hiL6HdJgyu(TVsM5!fO`l-o%5PCoO%v zA=_c~A1I|}@o@MOEYe;nKItR!tM2`N^e}g-`4;e^E>cCqhaY#0WQSlIl`ZDv#gGC& zOsjEtS$Sb7iQIf=gW?2nrlr*Y7a)|VwCVnK&y^Da7L+nY3`h`>jF?TiFC{+QyZ~q3 zkvqIARE(7Ns7MRmY(%V@MnLMps|D+B`!86L4F}=z3YHHvTF-7b$g-4@+Q4e}M4v3? zS$nB0{fxod68x%#t7o_z3wCTX#!-k~FfSs0}R_%1! zVrp)|w8DL4x_23${*&G6etUveO5ag3P~VvELXfph5CBV}?@zX;l zQYMnlfPAXci6XqxD7g^Jw~a5d#@nTqGxA&ODWV3s3&!$!rz=p9yich9@q9Bb!zusb z8^i!s@(|H5RfX|@cAMs1E&Cm4GU6eAes z6Xx8vwM+h?(>+F{BX_{w`Y8TvMs*{A#=AQA4M6DUXNgkpJ=R)4jDdr-I{OGgc}fG_ zF05zn7l^#hmoQ8MKd>tgR{gfN^pTKJw=ONCH+j6>s9HKg`C$UnX+tntsbGY)o`%Od zTWWT6m~W+EV#wl4eaBKO7`cb9n=W=JNuU3k^FE+jH$L>^{|3u*2U(0U)v`-|u%hc7 z9-E$BRG+7?reLw0*=$=-^Z&+HJYU16s~u=~wj zzn;`hdmenYNu>*O@t!2P$r46x8%N1h3rkEQVGaUU$HSIEc+y(t&Ln6>2q z(2HXb#Rv!S_OdOf&fA5}n9N!9{}p33uw_DQ@(!yZLTp;emP?ltF}leTM-W^nfCLG;=pOw@953u=b(9FN%Ny=C zR}rnUhfZDt;0#kXF2kMuWHF=slYWkF;ssAg=T#f)-qjTFG_8yLI!dU$&!suSGA)0k zG$aR@>K$XL+O(qGflammE*KLJj|j-SDc_EVx~^V3NA)MJyV~C%o`PU=wuQ`sJxPfU z`>rY9BT=KydN*00|12jPQ=THaf-ofx(YC-Z|GRPcC{#X|s9Sh!Ph(@K^ZHjObNDrq z%N{lFy;vjMUl0wUxTk>JgtDT8Z!x((%+x=Z1c)ychL=0(=9g1Z^e4crt=?u_L2|GA z9e)1(#_A8tcFDa#hp&Wkz!2smS-?(nfDS2OP(XZo`tT6%Y1%w?uQz1UlwZ_@o8564 z1P4wr)=u!WJ`RITRfDJr^j;AN>e1(QTG~!;LRbAiCZGTCA zz{2`s;BuNdhBrLLP<7<_$FJ-dby`*SrsE#lKC9&{*wkWPd!zlb1 z(&-?Gl3RA~hR;1o>=!I;3>4hmSgq)=byV>(&>b5DT$%%>c9fhGKH24$;FA)2h^W2X zQreE>!$3)7hZvg^3N`{geKjON(Z@VubN8rcQ;&N6T@xI0&l*e2yg$4k3&_qm&A~t_ z@^I929;%)^nz2vNfW|XfrFGW4r332zhazgt17-XSYDW-qQcAkj>oQxT%Ho z%e>d~(vO|^S6DV-;V57Z%87st=m+z6sIM6plczse;k|S^E$C55g~9-o9++9wYgGr> zm(}IzODKaQe zuQ@X{9L2K_(zMjNzvwkoJlN{hAEB)+5p9j+W%JBz$@m`iHov74sC8&FSYUpdD?(ya zJD1{rk3=H}g;PK_1tTs84ZYvM{X=8_A?tW}hlqPUS^&PDLVA7vep&_}4X9TmiZ12Z zW`<}(mWDI$J*acSbe4!V^!guNLed&(n z3j!N?ip=PlOZnH}#|29o8d7pQTftXqE&+f4UXWy(wKWEoO*8?;7FtiuPTcGqcE8~{ zOLh0I2wx%)g++mqN=im32J+yM$?=17UjOJQ{m-tcQPNE9KzOi1OeFa~{61Z7@uZ1^ z>|8g`=083(o+Nzy*#5y?di}BFJZkj;0kc9yTEOI)D8prZk$(M8?gH}_+VS(Yu34W} zmgFujJ70Od*IaqsPI#@_&z754_%juWL|icdrV(gbwc#bcaPdGj0PvFO#VH@lN#XDo z`|s7e68pAH5ilIg5*DVsHV!1E_w) z2~x3yg??NHCDad#trZZUFT1aie={U=PZcJ-UM5K`W2iQ*t+RV|gUbvWQE}y9KRsQv zJhS#}XnBiF2>paSYrfP$LPZrfT6J;UcM^P9gj{qlXFPnA46kCchP8P7sUnq@=bISd z>kGCihiXuS?-A9R{u}iL^U+}M@#g}p$YeV1Z*1ZV0@P~#K9~%=wdA);EFC)J0j@JD z9{(azH)No}thlTU5(E^ilwwL7?;Tw-Rb%*Yj!I|}PyN_Fp4--)XR1`yB#f$2VBQA% z64=^)c`H}rsgYSI2`U`>Veu+7lI~q(Y3?98B>~9YcWO*OI2(ih$hIt^p81sswj(}M zR~oSw&n-*=uZL}_RUP{Mp)>I6J=QZmwdef?o;Om5AO9Q=mS zE!IAV~Xl@OZ3EeTr*nY?oZAbSr5_Ydz~7E+-{Jn?WqwUS!KsuQxsK{=U_G!n_1e zOdrm2=$;nN7N$hzIRy3sz98)JSr=RNK z0-d!43gU=z2MvGH)%;V-I^CBa{ym0{OvsRA^>szrqGJv&zGt8O|F8mb<*$^g7}N;I z$$y?AU#_W?9#TB+nz1*Tc8}ElYw26N^f6Mja zOi9}ct9svFXN6m|9}9m&C)se7k3L_c-au3zE!)J#57B`+_x$c3&T_XIKLTTFzSNu> zt0D;t(0@1}zpWe<^i$}uEAH0$F*aXZ2w@@5Gy-v&M>OM|3dDPUWbdV3WKw$0G1NUL4!FY-7IWa& zl;=~PJ$+?N%6D8BR8>>$*RGl~C4+-NA>ogBthk<9*odyVglGD`sd;YKVpDq0nU+O!x<5mZ_C@S2nE=-U1TXyxxz%lc&-B^=8oJz0^fX47Gph_jZHh z;`IwX0h+{H)l8Ac&X}7NV$<&BxOh_F%6avuoyF&yzs-O{T>4Ox^2XzP6`$i%1nQFF zb_Nv+IWIi>59BSRZ{KO5WzE2>mNQNYxf^Tejc>W9UZk4JO>NQV+!iXbou^hlECm^0 z1R1)f<}iD{T^k6#%*CXt{!8ZZ+h;@0{8*-uIOe6e7uPGaSSgPD)Jcy$=Ev)&_H}Fi z6XB?=Mo2s%{oL};y_2N8!LVK$KDg`LJ+Z8t!Oku_{GGn!nCcwSEUie?C|q;bE!X)R z?g4{vpY-v~7v>JR*dxup2x(U=UR@P7ANeT4geifLQ1mnkWnhuXRaU#CF6Zce+u@KD zNScqte%``{3#qyctCdp5?oP2wc`ksI8Nd zVlFa+cIEp8NI{Fsi~Wi|R=B>c4m#hA!8!|hXfNLOy;kP6kV_k#;rqs1RZp+KSlov{ z6a|5&-Zs!Sp58#*Z=+=ogA2!-w{Ew=llz1Xr!DJNg70C79j}(d<1Brdx}H$3EzrLP zFeB_|F~z=%!>qxx-^Gtqg5_JOLfHe%?soiUK{WE@%s;-OH+N6yDTO=tKC56szrMb< zV)78t#KTiZZHJui@9yRt#U(DT%?4<@-{sWTV{ypI-QIf&lPYHL2WGZ8_tC-)kBs!( zmQ}%P9y>n{j84t1MK*1?qyDt+fMyzv3s)qIz!a`Bo~@NWH0HpMkECSL>-{yoJRO7& zZESjM3JDti0P32c83px4=sL93f9bhjxZ4U{sJ#qZ36f)ttG27bn`yKpKDEJ0(7*3- zg7d>r_L-O4&B=;HwBe>g&n~43@1}kYR9krt8{cR#&T}2zywpPxx5HgUuiw`cq!6#B! z9itTlD3(!z4QF%0l+j4=4nFk_3MfBM*(Qv`Ow#No^C7Q(g%ZQ{pi~pR1Xz#WS?wxiM-xim<9GibC_Cf}9j4}1s>c^rrrrCn_eZaL{|;2&-cye1Si|x0joW-^IO$EX zli8^-ywUQ=# z?&LBU@-&#hhd0W9$s%Z}ywH%>_6UuE^;j&mt9)*3sr&b+`^OsLaxGUrEXkf4KzS=s z`;ivvL!lB21-Sxy7%R(yDLc*T2fU*zgSaF6I4>CkB=QbJ`Ckth1#K||-2v)3qJ4Z5 z-D1xbpr1(>E++dAS};G8VnPd`dL5)1v%r`XwKf@?mMeWmJD)ju5Ho3?WcJCZ5><*6 zee+WmJI}?53<)Zk`ci)-5`0C1#UwjXG!UU08QeN0%L zIe(5>A)@U(iga@%nFqR~s2~W&XA<=+bZumqY6GA4S%U4CfYh(UZW6&8R8$((gvg{$ zUUFcvg4-n2`@|g7r1X6PdNwFUAs@OeCpwIxvJfF{hG#VZ;g**Y4w1rtpZoQz^SByI zJJPN9yJ<{#BXCX{+nNl5-5E1bEhKU&N$YzL7dk8Uje4Os@9un52+IlTNM2t>j(Qz{ zV4JP1n#pWg?#E=t8OZR}V^YT#Sa(OhB_!CSW15+?f0Rttb0^v_XX^*cll_8JhTc3H zo$q<&LFjrqyw0IR;L&{-nq9pke#h0LjUwXPM(wX>fk~IAxw*Rwg75i-Bl!V{Dvm9R zr+4awXtYn+wtO(C(kN2>yYBj27?wH&^pZ&VqH z#JeY;Rt!E)zGr4<16F*eeyBQkW8gVCy4>_BZpbY9>HCKeU8$O*JH@Uxm-n+AL-tFE zvBKeop8vd7e`tW^=o_EoYDGJsqnnXT4jR9WIb7U99H&~iq=Q3*p2G z&EU@2!;w}@*lu+LuY4GzvvhESjNM6{3ZoD#^6673WK?hWE23IZel-%Xnp4#+Bt8s- z=2i=>y6a%j!y#lB#K7+-{?I{SUSF$gIyhPviQ5EcvCSLT{Jb0j#Cf`btmMc@;Pnib zwQ{32iv+dPDx%|w^mC$=YqBAfb)&ou`Ab6mMDA-`ZfG4aMgew^iTA6g268ED*|csp zzav83k4Y(IWpp5r6f=0iGI4Bzf)QK#T+(By9e7H@nqhyFNqT@_N>#zS^GFLmX5FfB zcis%fs~!E)YOBS9n=vsI@{p|gjd7U;Hp)4uGcIOrG^5AHJ(y@P2}4yDV` z=%;iEdS)Ri(=E({49cjC(9K@uE~^w1+@n@;uJWP^_ZoPemI~y=69SSJu(J= zBr_6}#|tJ_Sf%TSmY0^1Z-=W=BKGq*&=i3J!G+3rV_PLvfjyj%a$X1@~yxkL$~ezc!wh5L%pJL3 zc!pS$(h=lT{DU#I7$f<^Y5|P0tV%~eJpSC#o0&rReoPre!(}61%HVfouww7Ex`qIm zdp~vjB8sO`(-;$53XBGMVRnH4^KrP9@i|~7DcC}bQI^N4uoT$n#$H&kx{eVhF73nn?rPX`zm|OAA zHF$l8Kv>k5K5TOAAZ_jsGY=O}-c?>=@y3+T>3Ju@Cr2BTFVRJwv$Yc2hvoxU)L&h$ zjBmLHelMP_6R6#AVeAqqqESEoeYhUvxn0O6T}4dA`P|lNrTQ|n$&24d8MH%zq6b0F ztT)sKm>dmk^#!wgzj+uL8|zQ!NgjLnb zINC-pgb_#HG{4{d#=Bjh|7PvC$o0-e&B4KQ(Q|nXLRH`z|09m!}Ih_bp!*;oB z7r9u?=w5EJp3R>}tBVBZV$+SW(T#{fp!ml0R%0%y$5DAa{!LC1aAvu>x&na!EQik9A}rymc*55<)L)cP=pL+X{HL&{*K)fv0DleZ1s%f6H_RADyAWY z!c?jgyGcY^H;Y}D62YneDetm7QWh8$O2e@{MFTU;5`}3vGuq&%tyFdw8TkEngl(Ol zDaW0x5nKTw5Sh;4^6w!XiV>CwAq|U&#r!}NAKB+uO7TC~I?I^40%l!r+4GS#fzN9HvffqfE|Y1Ox>3uiM-%?Jxey z{ARzWjQ@_RAi}PGXcteg(lkUcf$_YeG`LAMPdmkhC~rx8+-}d%$kCW&0_!(W`fP{& zFk|A47sgiW^L4?PVxGQ;0LR_7r=P&sb10NxJG|OaP72Kcnu3vvXxVv7b)8{F&<^co zC){TM?jD8mH&t||K(H{WJKkxQJH{n2Z)AG@iB981J|6-@Z0w%3m=xpbVl#4c^C4v_VfwE>7%d%MUeX zCAgmHpZ10(2hp>;mubkGOzJqPm9zB7Nq2PUK2ET$p0_mhqorc|A70;NjHDcH0y)R0 zvkuFbaSn`+znMDE-OJRUwZ=JPIV9&_9;k)f#N}1ObiW-dLu%qGHSmJa?)buk#Us zrx3a24s~8c=k!m#Y@g4|ybYwoB3`krOf{R$7{(*x)ID-yLz>+hhtq6X>jkr*8=tv` z3==UR0mg7KCo`!i(3U7l>;7ndNr*-zB+tAj@P}-S3N+=Hnc%jxv`kD+BH<8JFn7Sa zRJFp8XWe7o+CB<+t~?-hC_Cepfa~A?`L=S2BIPth8Zz+O)RR6cqzm#sh2e?L z%`vRkW^)-MsnF3w3Pxhd>b&(M-gEb;Jt?>D?O1obfi!m9;IFipp?xC3aWU$=csMN4 zY(R{_W2WYT@)P;U-v>uR9)@QcbxN2_jBpVJ9UzCYzt7sXhp%s%+EbI0Q(uoWINk`f zq@>R2_<-25Pio+QGcWvI2cOK8pCWRiVYej;lGOG*6j6Y4S1nW0>L%|L#Y|g-ZKZ8P z)h=N?ESv5hSjjcZWwD@x0dkjL4-NIkuapQ#B22L)?)nV?%It;6-BjE1OSK`$xoPD* zcz)}e>pYF)M4ijL_da%g-fL3y>T@bBFji5^q&_PBo2(1{od_HT+TCR6+$_$5X5_z=U?S*srqN1CIUYnP>z7#Au!{Aw~-$M0;wfeL^gNU z?~Uc|ToI0BaXHR-$Y-C@W&RMMDB$9g#7j(0-kvE{v2=SH0-I`~#MEsmgSzu7G%j*m zTfg|M&P~G4=?hP3!l*m;HDZuXuP-m>z2q8@)L`JcZTBAfns;?QAh#mnB0OINQrt$KJX{E4gF>yRvNKCL^HuTBhdt&m7qZ`{$D}SumVro z&1o<&c>8>KaP{a?p}Lkh(j~Ri1<{_II73ut`GsUwkHje-P-ICT4y_cwarv-ud2jEX zgP&D@ynlJqGmJ`mtg}^mesmc^WESb(9d~dT{X>s0HIU!yU1k%fRNCQt7CYqd&gGYz zJ*8T{?{y)?<+#<(&usapVSmCIU0c2c^qDGZSoba9G0zcJBlQDqqR2<50U8j{jOqY$ z{~NsMUS3|j-cP(>pM$u#I4lyrZ?GKZNt@)EJX>Fc1^w%QUMOk%?zp-$CR^~1&Ynb3 zTS!TcXRlf`(SbixwD>F7bme3pX7y`Ri>cG~eoOr}mL*jo!<>DOOkgTvpYkn_F^TIW zG1bkBlod$PGLn#~BbGqiNUmj&;QbhADBFac^n6Ij)8PcAx#~e8A_+>nMVtHA> zsy!)b+Vv$U+5WWYv^$~cYj-xr2mCAVWf9^V*P9$jIT*D_nsTMkRPg1Ll*8WnV~-M5apUl{YP3H4!}|bZH4UU7R88uf3L^e7;NA1+w1P>NvJG3pC(MifBuk! zt+Ct`8bxOlk)3Ld47af2)VK`C*%p}^4J8A-@f24QR*j20GvrdTZL z|Ik(fRu#aCO8BwD>~NSHx-LXi)$8&ux{-K&8V|(ypj@_BE1~POyzn4Z@X&J3ABrPW zkb*z#MvUYHXs0siU*B4O=Uero{iSe>EIwcz{O!PxRf+~Z&QYwl7`m_S(bPCP`YJyB zFJF_@4ymf;g25|!9m$0XHYBa0vtOkr;3{N!jC?%auR4-Mr!(ktL|_0$10mgK{{pn-waD63NzIR zrqSU#+YL|gX0Rf#=`91Vo92cfe;}roSRz95AfS&x?4b|;88G|$QvQAX;zLXiTJP9j zzFS@=aym~oBv6Iu!GbJ=JYnOha{)jrO2B1vmuYTe_m{Q*jpNt9B#bXL;K6ay!Jf7e zMFvl=Ah=g^TQb)qv8<@z%SN52tHOGvrd;|itEiJUEnXj?4&DbEK~hqCFv3)qSH~+T z38EJ%4qWOPHqnyWK3TQk^CA)t5C@X3ruR8JBt=+Xe^1Vu$@zFSVr}iRMjMhMV2-X{ zN%GtjGrrerz1(R0vcd=a*Z#i)6qtxI`40!>4yWy9!wjmfa6QEg+{`>cKpKi$_cRh` zs&j z1aD7ZD21bx@fo3ns~hfRZxfOCP8CZUKSH<4cY9p_l8wx-sHKJIgw@TkJj^ycw&IHs zvJg`~*8n{mZN>)imq;kUA8F|0TNE`*b9jri2u4H05niVO)MT@7$d2@s9>Qwv@(z&uvjzU z{rhIe=N4f3eUYV!x#@QB?7Y7zA&Ia9Ho4~&8!`%VY(fG|^>|3rniocLrFM|p<*t#1 zJz^ep^n;w1`q|hcxq*~78I}*AOiWn{{ls@!Yh|vWM*#sEJ5_jHSDy;Opj>>smc^DI z_0aZ`&}_!ez;+xpA9L{%`ZLt?@UZ(pF3-zG}h}0fF6lHlMMl6 zuX1hcdeA>=^=BfhuVtTq+!;Qse5v-B@1oXQ=S|_-9+iaySbv$&~dkDX5nfROxF-pU7|apdzAjh9smZ$pM!1gAB)-68anXOR|b% zN}!^l_4Zx<9v%~yUA83o;DPxKI^+I)<#51BT;&G-HhG4)IOaP`RGG@i1STvTiS&b~ zpv~)FNnnHwc(FvW{H6#UC*~ZnNtf*W*$y&eI?$0}rz&8@K5-O*Ru)%-RkAeB-xX`a z>;R+KcecH!N_x(OW`FUeSH5mOMVwtULRYjIVRD$mm@6nP%xn6llj(fY{@^DULDVI+ z*;gayM9Uulo9xPp%xTX*+fd=n2HgKh^OaRB&;N+%qNTg-u81?v{&@urlk>IyT_*80hdPszZOtAxNRQy?`33j5B`0H%eQ~99u2FIH*&pvoavwQW!goq>*hqvl( zX|`;zeyRFHWV^Ib7!%xgi*}26=roa!UISp?FAC(6{rz5|!+3hG=yYE?LK#7hBr%<@ zt)s3l|D-3E=fnT64oi9MgAc8Q zm~trHjq;$JNrw3T(empgb!M;3-2!W*(zQSmTC^8BO^B!!f^KY_xnQUfz@}0p?L=fzeh(! z!+QOa_1xg<&BuE3Q1J2^3aBj}S)$1#HC%W)&abb_>H|f9Z}fKXHodD?ZKXgGW**;P z6B&h4$H+k~qL|0&l-*`$-AragFfMLkpWC|YwJkjaA|_)(;6L>4?DE`q|(rl5=cNfOUtst#xnsodZ-kY#@VAm%KH*8iVCMrsHaq-P? z%Z(LM+2W;zY*w#@@1qqA*UJu!&jBq&9{mI-sVcG(u)!@4SOQHEjy+<=AxBFF81E#5 z{-&Cm^4v$lzcBW8&QWe^Tim%kp$)jBsuqbXvrEG#{}H>d9h}P+1jHn~ueKriIiiV7 zfwf)<3vGBnr~=CRU=5Y!^8tltg^b={y^RIMWsTqG$S-3B+NSw*zTihfsC7$$7rIuk z3ED&mmhsg%d5A2FZV>D(?9BHjVV!ca;ZZwpw#Psj70LW_MHJK z(7Npk9{pPhFmxaQGK&+G>ti$z(4Wcx8%N(sUtO1%sc-&aVnzVD3X;0sjE_Ts(7DJ5 zc9QjjLo{9xSvWgGqc_pPU&5ywb)#L77S0Bl1z#$m!3%_%Zj2wFytXeXpNzh+z%n$7 z!bfk{e3yY!$l?!6DAvwH?($_M6MmhN%^_kKVaO(JbgrQYb-j+VP5Gi1z4HSf;7bh$ zzt|m~s(^!l4MteUWEdU1?qIw2N3u_{B+Z*kzSB(&eST|~dk!_f2+P&0sCoLpqH*Wv zBhAqTNQg4N+sdy5T;|yazF7+zlTU>x5CV=bEhhNx< z`{mJp2HXF#g%jedL3!&2PMor9RU(3>iALf~M>TLWG%-zJFzkNbu%T@v7OL{8Cb{y`DPokEVUO&(%mfhR;9C&qOu+;o~?S-#pK z+?1!d?=D%J^%>K=1JrFk3e&)V#hsXu+&6EHyY$3n9~?zXbp~gbqi&VQ>;V^MFOa^s z8@Ro_9au<#fc7r}ih_;~Az8h$$6ET;AIkyOg}DQ!u#m>W+ByU>Y+AS z#AffE`{;a6)%5B#TJ?NHnJjdVZ8KC1b_fg&Izp1}LPWBj7cQ_Ov*sSov~@Llc6QeF z^0-M!RWtNrv3^Kilme4B(;l51__fY?7QzoFs~Vo>4k-CAe`xYSu&!<;28wT>fC<*6 zQwfv)o+>A%VjL5c_3(?&bulTKJJ(x0-~_q|7o8nfXI4p;G!qgoo-w$*`epD)e_*LQ z%;diefQ>Fc7yt|j;92(}MSj0uT4Efu1)s2n0L}&xkaU%5PIIYY!s9|lS}}nwKw4VP zz!vQU8Tnb8pV|hsDL~`w3LFb`1atw^-aB$m`tX~1DD`E{a;S>1F62RfL#-MJO!x&n zUoe1u2-8F8zXZaV-}HoRvc>S|jD+>i5)XTGQt|l~w{(21P|#PtboYE(#t{~G5G7<} zD%$|eqUx+WtsHpZxqR-gKUj$tSmI3TI^SH zK$Y5`0Izu2Oz{f+bU4Ud!K@!uU0vO+zwS=_{(W)491}}+)SF}_J?7(gdU8i*lR;{Y zU(rvKT+wG;-Hr}gxV`tT&~`$4$vqfse(tZ%onKDBId=TR0{wdO1@8CHDc7qgJ)33n zFSZO?O4c<{Z@b+uT_<+W4cvD6LB0UtL@pTwr`h=3a^YA*RWFinXXp3O82V$!tdZaN zp7`bFyA*+9l1f`(@sgpF4A*fFZfF`|kEw${c`_09@aN zO_!jx&MI`5^#@YH#{u%Ue=Moc3|bO6pp^$DQSs^)5=?Os!$Hf$bJXG4Z#-?a(s7Eq z4u$~NW+rz^boCKLA-aC8|Nbc|MGP4#;vfz3sR_=$st22-*p+;Di(=Go#q!bze3;q`cSkKaD6xx2j}5O6s6sZDUj{@7~d_jpJi{r>6c zd}i||YpNW*gM_ov|BvE>@gcwW6w2UrLKbj24OYYiddPr74Sk%(xzme?HBw(cV&ZfyY@Tv zWwY_PnDcc*D+F4UXtb(p0lnmRgG#aQEGU)3`RRJYR^sIXiUu`qK$D9sElT+HW>Ee3Rzz-{3AMBj;EdP}rHMS} zl+SvP4f;f>y&Ey$!hUv}GgDS(gV?7s@wY}IB%jdeo}`F0V`Me$`PHPeUR?(cp%pYD zj|s=RlMcizA$xe0rv>h*O398F2aYWngw*hg6a$gCKs83F@0p6uMtil=;G}%Ml99@r z&d{GDvRRg@VPyWuymIkm+ibZOrRmen4}s`_Wa7>hZd>mX9>?voosGQ#DjuR2Ry0QY zwX3&wf4dGyF!)^-@$c(z_q9w#jv``2}avhVmq>+9<5r?2NFSLWxx zw!YuLj+)n%{R$6lNL8EIEN^54WFMv04s<|8c<}uSD5~C1Juo2O9bC0Lo71_RZaqbf za^@E+vE}sBS4sUOMtc%m)d*IszAeVABS$$ffMI}x3bf}e0AQ0&|Bm#ea~mOF!bnl$ zu!N``rw|CDx34wO&z;RgD2n9v=LiwYdjcRYt>E?6u82My#k5#Yw7hIsCErfg@?CV+ zgW!@wP`DGPaN^Yq<)cJwc*!K85Q~A~8kIc>NyTlx@L zq#24RH88%2-Mr?7=pzt`zC##&LcqXu^gVNa6fYhYp6p{t?R<gzhYXd`E6U0dNJP zNP%FQ3Q)yok~Vea7)Db2X!m%nK5cxC6%h~4b7nn5N|heO@4T z-M-^q(RM%)dcLwF0CcR44NP=$KL6#UmGHU>_J*sUa!Y&@S9f;!+BkUsg(*s%&O

~W%{nTaZ)f+ayB0shchN^tt0put*DQWR=@|IGr(M;H)j zS0Yr<$GQ>bQHuh}1Y(BhADVGw17WP}dKuGo$dnaih=GF=LA@-Xn#IKf^dN@bgC0gk=WmQ`eLlx-7-zn6!ZfnPR3fa{AF*#yg#v_n$sBm*SyB)Nji@dAksdKr z^bfYb9JGpNc1eb1GC$s?DFr(OH{{n=#&sn&8DIZU1zP}cFl(&g*Dj>K6xRoN9jBpU z!^kkYFXB|E-8lsLv*=__d>QV#n-UquHf*%ls&hkWCSo8Gbp+rCpi)Bx0no)TPd4S~uD&1E5&3NReyZDf^ZI@TWsc5epAA_F9lJ*tU zaA;?ei(C&1ZXWUfAtCuO{X{4%K5|khvDV^gx-k*hpUJ$#1e345ZrDOPKgyR{%e|tO z7-@?-==H4*B*3#<7Sk$@{3z#JF_D2Uxw@1c&~9~w@aXjJy1TkVZ~fE7KrpWaqG$`C zdGl}6MOizlwC=1!}X?b7}szn-{ywc|`5hc(kED;qjeYn3ih9)458fd|VQ;8?3 zxzy5!8xTmj5brHGc4yRzY=ykL%|+sMg!#I;{}`&Oq4|l@K`p|zbXRo@9H}L2WT@H* z^EH%{3ZQIYk-A>bp0uW@EI$^RSw+sK7GqV5U{=?#CW()0W^=6eue&ZQTMtTvc8_6d zWN}1paHSrzTK?0D+T)h$WSaviG_rD}UI(ji4Mb{qat@?OH2aDhk9;5bw4o3{fHUqg zT+pAwyA^TMD)wav3!UyhxFWR3!GUluh)EAL_S*ig`!uDzc+Thbr*)y z{MgfaeyK<alyKXo{9W8{COQaIdyd8fG?Nhk_(>07Spjuza}b+6k>%1S=CsJvrH8>_Dp0BHSYe**LpV1GUw4q@W*;bA5~R*2w&HA$OP`dtrv zvGca+A>z`~2yX*4&z;`l;uDXcn$>o$-=e}hA59=UME8w^8?K$b$)?@xk<0^SuTwde zv+d}1Ya1*+RwJ}WxO(eq9T~;htR`y&}quk!q!|qQIB&H2En<8 z-04cOUwm0w!Dr5lV;(*>Pz!rJAsohiNL+J6SpB=D6jS$X)VnkpgBgn3>)wym&F4#8 z?n5E%k1qMnUb+jBn447?qnPI0ezAXI!4sAWw17SJ?PpWv$f!tZtuT4J3VGiluQCB&t5RDPv`o1CUb(TaH@bvRjlU<{rdbz>dgWN0PdI% z7Y#2$ptk#$LzNTyoo16`9=7P^(*4)K^W5vp$J09@etv!>;QMw!Q7o_lAaax{&@T^T z+!O=e(&yp{-lH<)h#QA$T}y;#FGFkyM+Xw!7!g2(hjujw2#BK ze5w>$*iIsfEPBleV9mnkVnoxMjg5~nSqW}P#4>Bn<>bPgRzErgsg$xvetU?25pn=j zeWm^1gEubv;>Vf7XT=@DFw&xbI~m03P=Ln-D`q7QyYYQcIO*^YtV@>l)5SnD!cyjE z>84D!MyJ?!V}+;he#4=tl}uW@Nns;rIFJ}q&4vA9D{d69zUGOt#ZN5bf%4bYdG243 z))_i}H5|7KUv(4B-pS}-GZRBgnIORNd{0X=K+WI5Tg*Hr(emOD);V2%4CN7>obuvHrfRTBxWB`X6cH-B%xXnqrD8K}L*@@* z#%nkD(4U_Jc$Q07l zzYjau7mZjJ*J`B&es7L>U zB^&Kn1yTXL{Xspe3slyJD-yC_PgoT?zpxQ7xWtvf8CI4ut&UjK8=XRg17AYmzGL)p zr>GeeJE*|LEDeut{C4H_6ZvhgxfsZVuOG0IVFRpcTR7%E6WmjL_ve6%Mys<~R4Gv( z1aG3{4`Fg=RMx^WtW6W)00)Oie|HEp)JhrY-4|Go@XF4XvesuZKo&v|!h18+8>{xz zM&F^unGlwJVQCNhyYP-W7+AsYdWP>(++X{rV-klgl%l9#fkQKztJwo)Z56cit=9*P zh71Pgd>cWy6W-6ua1sV0O4Po{&QL0xaKVO8r%W&I-H6|aIZ$CZ%%R#g#|>3=*LWqg zw)=2_(F6sPxaX6liSdiV36gA!1p7A!A+DKAuhHj%iUb`25S7k$AFBhP-}-DIt;aOW zH{a#4_P!u7z(P~*bYRHf6bMVCBjq458;3D3<+Rc=Qtz}B)Uq0btMY!DiY>EIzpqxo zX^uRU_*P3(TU|h1HI(@j7iO6=IIUsS&JuYmweN^+EQKQ*Df2l%GKy^swm)U!gok&8?`4*(^=u(q1UgKyv{EL}F--rXN%DAFrft!6ma*JY{l@KJp zB#WG>lF2Sf=mU`7l{h0g12-#x`^})FP8#c0@SJ&Y5WArfA2>~a@%3rBB6pbmp|MfM zRGo5l8ApwD;_!l5amjtR!l#dxMRcZ}4POfKD&YEBrXgYb zLdo05K2z1eDkV}NQ^6|vQcrr{YS}-_8e7V0F-V#V$B2$`?AUfYboW%MV<^Y}rS>AD z2pu?Wy|Q1CDocy zoUoxfi2u#Ha~(unom%$_E-`0M+t!(jo5_9GGRZI}6x_|ba zb|t!vwr+SVQTV^Cyjl7Y32c2M++Y3~PD4jLNP@!K9tqrr6b;pZrNexSx9(E2AX+wB zTVpw!XHF-h$jRp~x|z^GfdUDe(i`})_NH7W-n4KOV2SM$M%vX_s*^=vDT!)yax$8J z@86PqJF5&ZQ>XVrBpdz^E9W782_`3pKJ8Er*B3VkZuk$T7F1+c*WM-EY%Xf^c7tlk*(nU>xa?V4t8!iD zz_&(ItY6gT&5sn2G~%oAOX#6nbPhnWS!32>k?z zMpOy*BQ{7f7#jA*@M9;7OuXn5T4+yQf&7G$h)Op@VLsLn67fwdHN1;Wf$F%5?xUc3 zaWyqya^XDsD|LQ(bBTfBD_@a;09T!EFb}M0ASaz)YPu=G5w{P<8YX8gGSVm-;)l?0L}@KxBtqpVkG;HjZKgPlaZq zpzLZuBNM2udOsC;N6dJ`BMh1r&;OZ6rKqVFbxH$XcmAfBU*nR9@GGM+QDf!v>Nzj_Vk5si(AFv1N|cO2FWi2 zZ)P3Mu-31Y{l|(c{*c!G>@+Q>>rX8kjKsdNIv{zZ{JjyK2eLOi4Cv^n|w2<84_k4bOd{Y)lSYUrS z1_W6}rq z4<7b_KM$PZ;j1^_o>D9Cy1!g&2UvSeZ6!Ydln@6%i7I_Bv_GF@W%ejBry|)8bw-%d z5hD6w%V$yR7Xi?vE8R6kjuvnplXkl5AOuNpy{tKy_Lq#GbAFeVh)y<~NTD;m?Dzp#ykZ>H@l@&JVz4aD()W`EAhZ)D5bTpuLr8Kv6{X3ZZe9^U7It zGh+kNA}MMVL&)?p@RCe9l7z96^f}#(oH-MpO+?JVHIb;{W?dY#h~Bjtai;X)h+6R! z4{-YOcet?E`jR*1Myv%%a|h_d@u)IjHo*~*vu~KNzGf!CRA;L^vd8=FFqNg7?}=Aq z{yBH^N+#F2$alXx#P`mG_D^AHgh=*gk{Jj7pB4JB~m+ zC66G#pOE4{K@Ji2of|jN>&rFa!rhGmpT(zkG*kZqJ8V)YAI>=TDEhfJ<&=J1LKz%X{9e!H(xg zhmL9t;Fj|J*<9=CW}R9&tKFIoDjK?IA}#k3+nkW_{SgNM&25)At;1`@pLa`>8}0#& z&sLr6wUf|u1=gEeZ>m-voB2bMAD1#;Cb^~Jyvw&=XVCLZusEV!aQyytr`sVz4<_YW zz*j5jy48JlW*n5m`qEGw4vnN8ZVoJrr6dfiJ)UBjvWG3Pu zJy4zb{K4fb0pCvdu%|!tG^d>VxB2%!>C-m50Kc~^qN0qR2?iN1Y4a*^Jg-Cqj9UYt zko6UV2}k|t4_gDa2!oQJ#AHAY&+}C$_+59<@`C`%IRY&nVq{{1*!%8X%YONXnQ`3b zaUeAzD#cTTQb{OXp!8vT`lDtmO1*-*Gzop7O;Y{l2SqXSi(RAp9mr($SqH{7r4&nvx-_j1PeC$hetQPYJ4bH)-8PdeH0cQMj z3G5=g;+M{m2grYBH44T~k?B5u!|M4PZ(ewQ_W@3mJ7Li7gt<%7RL}zXZYWLXshSvi z>-1ke6`5-p%H!Cb(OT1}Rez`pEXVLC;QHR=@-m8u)3ToDq%!by4|~3T`66I8nt`Mg zZ@+(L=(!Wl6q}tL18F5q)_^;BK?C9;$#f)*3x_sKT2GG|piF6J;3sbw5TT2UNnOSpSt4%h&Jw^bIzm&z)K2RO^f z*=g7=4-WH{KSir0l>#A9v}3TXYEioW^x|Ms-2&WxgH3gSy%NI8n@8wA3}D^5tfmtI z!y@K|`gMDMzi!C)*$~tW4q8n^OEY?U#8x}kSg)=q3QVH*v9e&JczKwa7s|%q9PcC9 z;~e}d_xx_4Bgbn_Rn90>f_k34H?Y9hEVLmG`9GS)ftVEBREAs?l;Em$v;qxIX>}?) z7e9_1V^KyXFx|^s!ed;t8bb}xb^tcx`Vq~bM>W>mIMz-Lq0@#Ix%1u(s$(DXGanOc z$*;#m;AYL1)>TX&4=)!$1~1l|`R%zS@`g|Do>TDf;G1iIap-lL&ucA4C?0R1GMC|A zT3UAaS0+2LzK$WO#A+<%z2LRGyPMOu*(F=u=D!Gx8cf2WC}}HWU-Kri4IJhjUq0On z0h0F{;IL$$0~y8)G@#5dB%De$@}*ir`W4Oa$!$_FMP9H1FJk?CIAHtvUlt)Z#S2mP zBb~!(4GVVs5$CW zCwYGRVUNZx#3fpCMCZpA6?)OhF@|xaO8wU|l`bXCV4(O#2TYqcqZM%dj_mECyrhpl zD;xh1+uQJ5r?ALMpPN7Iwwp)rW;t0a9N-EQOL~vsPoH;agHWL^V8hJ>s{jj3qDvw{ zzk8tjeK);5jNAokC@Gpjkc5Js0Y7r0NyUjybLP-v(m?h027ERlG)ur$ugBec96#Ku z&f3)i%mLBQ4IT-n{rR4cyw8+HXA*Ru;hraP(TB?a}xBY=0xgn}}5O}?QS6i*W- z2#jkE@{q|>oTO!p{bR#Eac-rfmI$nzbx9Cus$N^RFC9`x@WHiE6I(L6{5$9oU2qqUh4q2*|&Qy%}i{e0g6+$65i{FuuD z4F#fSs6PoZnuaV?sEfCl&qkFOx|S5i8AiQb+1VT~v zyKlfLsl)Nxqs0Ic4`vBbDQOMji$cM_yJi8~s!&Nd5}_5asrVGgoJV{h`Ysz~R#u9D zEe4+tPF-JB47jHW2#hGht>m484Jn4=`Rikpr}r?>(YpH54Y|IsaaI@rr^fQ=fs?0P zKRWcEa3!GpDMZiiH*jDhBO`NMTtPX0gl+*3A3QtOv6Vd4blcD8KxF!IDSwnvNazp> zUt$)>5;j05*2H33U%6eA{KAZKN6~n>W)NtZ8i$g_dr5+&U3(qgvATsB0b8se9-w5f zV>4;GY(5?HDkLC%gcVy7c@shj)1|-)}1%#^sx-ogo?wo9X}{ zFbQ&7VQj`X`IcnHw4NA6mXW0j4u(J8R!YIqc}P5M__Vxzqv)?Nis(TvXNJNr8z;T4 z!J+^=9tiQk18Pku&`!OX;c15#@9I)GkjJ1vX5$%QF~ZN__r;3&4F#~A@`u-sA4o}+ zGTQ-K?-L0+q_qa0rTu{sJ|x(nUR$~{IXgLq!?rSo$3Zxvk_^5L z7(!YMDE~oV#o(1=by2hSo}9d4n03@Lu3u$Kfs~a7H)>yYh+o0qAg%;zkYNfZ5}_2y z1%r^mlFBN=^$-(DsCVU-pRXGxUS=jZ^S!bdd9AO5_mWZS$US-=oK5QISB0lN@^83({~kT~bb_+7+0R2{K6&x1Jd zHM4R45BhHLsWE(dpguV1cXY)d8_e2rNbt;f{;Cq zAc_f%ksZ2;MJ^@fc^2);#>p9J*d0QMuJ+WPUxxWCC(!5>Swh9i+E}ikOULb?iV3!d z&^ld&QqaE&d)Sfb52~#{ckYdh=zg!JmQ6Czx=88^+wNm?&fsmOq@-l>6G15if6So- zUo_-ixp~WDHFVB#>iUV0Es0P-3T*&2wPPO1#KA})oz&d1ZD^_DxT-$$@`=r{`ec^* zOFWI6Q1$$5rhv=INCwBAr}=lGy~}&5!mb4P=)>v=N!+4M%0QyYO@p(CO-QXuYkfwW z2^3c?L0VC5O<8<}>7~05kH^Eq=SC`{1H{~18%aT{hlH#^DK+YYJ&!p!2SWn_{>49n zvcy(eZgS%1-lgD%LS^1rx{E{GPNJU~zdT6>Ny(Ke4rzb2`6Z&`o~JU|k*&N)q`>e> zgc3#=B5edULGr5dzJ9`3`Ek6}b^X}GWS_FYI|yftqqHwCA;P6pJ1Y?uB!)iz>(i6i ziP=lbf3pC5gpG#1D~$U8B>Yn~8>iaZ(u*5_=FP`t?OZ-cuv0bm{bWS7Xn4UXXdM93%o-oMD4{mb> ziC5RRmjmf`wTH(|zwYu-B9^HWWEi(_+n)Y7k9~NAbZP8y&+ydj1mW{ula@oV$|r0m zv+TJjei&`#&X8tL{rlrsApEfw60z!WGqtiJ!HK;o;z1m2h>0@Oh9P{zi+1f)q4yKn z_vfkR=<4mNG<%%EeeEFB*%Gnc#N=#$u;VzPW40KgdbM`{?)&isIa*8Yg^%3nG|9s% z8a%>BI+S9t1VaPgir%eSCg^0Kf;(yc+KG(eUECm3PH;jYa>^ropFoCr(mrFn4+YVD zny2E_N2~a8^ktfpQ%RFq34{XNC#c9lqblbVI;$o6?;s&R!P>`; zXrpCkkUyS4PRE0N#Ep-%`FpS0%1ikdiwe8l0}P$1C3`(#HVH{_r`$C5U zr{V0mwSUW;+txYVKiS(Yck~ua&7`Pp*L^WMys%APZZUjS2`|e=V{1hDiA5T$o-S5~ zr7i1^*{tdv&yIprzyETQ4AQ2LVn#{G3@{DtIGTL>m$&06lud^xV{m}Qt^hyi0E1hz zoiO=J@ZK{^j$4*H{hf9u{Kk(*=ZlMW0X{o%>^K{;bN*%~^W$=3R=Qy7{?9RM4TU}?UiP)YV3)b&78Lbx@53iMm`LQmV8Ze4E z;FUkcho#=jaXbYkuVgryBVida8)!l|Q%-g*%A3po^YdAMP}O`)2+R|+tBU2sQQaqv zcHB*Atit)cYYX8UOiIGzPD(W7HmY!cuO^{@ti+!ZNL;l>n*%SLaSBRv^qM5*>EtG+}axqip>fWsgs#*LSQnSXD~Poh;ei3pO}672uTKbBBspA8I-RA24! z7QY>pD6Y8!1bd&KYMV&xF(0bIY$EZ;(tY7kN z{q>BdphJN(XMnE=ZL+UrP7c`>cH#?KNA@{*4y${A2+I}ihfsGjocv2F-}2nRe>Bsu zQ1oM(?HR6uqZ&Q(Yg}!F9F_3S46K}QwlSp+XQv%M?7uzpd=zcUJMxr>)vGCKFU%f` zVU|xcHLxSoVrdjAKY10XkJBJ@B$FmgM{RLDSD(?5z~L*W)%p$yF z#IZ^u{VMR6-uJ@L1!wt|+C?a1L%cUuXPwhT1Qbta?fhlw5u;GkUw}SDCOk7VvmUuw zI_d7p&TjBpUq4KN#6t+xwZRTKVx1Wg8m9CuX=LObRCsWQ( zmJej8rsql%mqJM`+Zir4+%(8nt=7dFQ{gatUVK=!#5A1S=IYVRJ}wKh)Tu!X$ET*8 z`NxIbF8TKY-XGR$zMduB5_Fl$S9bv!!BKawS1(PK;(JU;sJt?|?*clEZ#{pA7nx>I zkjx9e<&g`% zOl*38W`0*^Po`)5uugkSPRzb}rNT*i*#+DDs_@SizC1UO2ll$E-{^jeVf%wkL^sGT z7x6jTo@5}gp-2_E8hOlEVgW5C8cl(u`*PF_%mjo{`a+td8TdW@T9*_kQ^6YE zpZ)UGvFCe7W0Yr?32SXpKV!05yi5FcJB6J*iq2Za%B_mHHr;S43D1g@k62r7$y{&^ z<$%7|@@E#MP_BPzwLhR3^(%Z%)iEs&SMsGe{RNMer2~ z{_y>x{V#Zn);2)D*np|JVPfv$&W0gBmfD;fv|DGFom22okSfqokIPTxCmZ4bm*$sm zdt+lXY2QNav%5UfKw%o}On5=>w9llEJ7{w< zD_lU|o^V&aMaLH?!R~KmA|PkBf#zPWx4`eXyaW)&5F9J)3;FY$=F(Nyl5<)--XeBI zzQ(|HH}+rpxC?tpl3XU;4b};Gd|`zC6bMotzBe5WzErOunelNUL+;PgK0NQT>5_Dn zhgI>odGq}<1p*eN0M7Hm*;BRp__ljGiSH~YKF2na@P_gs62y~3qKi{%-Sc5OcWF(A z_k)@__NEeOpZYs&xu z-BCX{eeZ!qonxsPoBe*fFS4@5j$~ z1gWvf&PjvFSZW9TY}<7oyBtxnsbE*#t2Jx4+r2~k;JTr?gVQ5j(wm_>uIIK}@b5cr z&-?ac!JgGf6y>?tLvlmk7!iR%e%$ke}(PqklT+p?voM=IH}8j z-Ha&bGKFoUBGfS2U-VjCk7AnIVLy1=9^xYL=q5lUpV9}D(1wU~y-GSf(~Tqr&O2?q zsU~N6tv5&IM|XIy*po`GW`Jmdr(e^)+H1g*hOg(HC54^``!P|q%;F#Tq{G2nFg&Kr zyKJSJKB;qS>aT%S#sb(ECgck13Ke3&@mwUfJ9uBk>$_8Hm1|?GsVlHo2>6x`E4rmn552p@t~mM+Ump8G5N} zkFc4BCHwO`t!;*qqpxGzSGH`?@*kG8McdDnrtW79M4=7kV++(%?otM#d5Sl8l(*uw zLTr&`{V%J4j#-E{&pZQiMlRvj5pVfI{IAx!A3Z(6L;{>N8RHEP4;(|eJ+#u{XxtTu zyx9_k){<+-b$0;87tvI$N}fLpP@`0x?`CN7ETLr&>C?)iX3J4bVyRcp8&#nc8Ct9* zWSWgws5QRg$QTZx)5xgU%~yShca845(M{iw^3k5V{-n+qWSZ}Pk__?9&(%pY`3}#w zz>o9!OF3X^`N$)c><$V>`u5MRVPsc`r<3rWDfe zJ`E(-<#9#DJ*liC+?+EogA?&i(BFE1SSD970>1uxrX#B^iZVP}i($o5-ldiZfXMar zE&hz<4it7DRl29Jns8oWcX4c$gg2Ii@1|`@)4TPReN8+cMO#nyfUA7Bs1Iriu`H#T z)l11!vQfrwR~i@nM7Rn1RzM8>d(t&&AHV+>lE z{j8=Ts8LSEcQ=9~@bCz@)i(rq2K5Fk8n=-_ls^jZSl`5C@Wi9ncG-AnK&0;Yaf6|;KQu+W zywZae@*88};uz;ShUr$UpXuy{zIXL4V9HV4XQcWsu6C@Dh&*{BH^)FV8>fbFq%x)9 z@aH*>ejjqwAaT|eQ&&m>0GX#6b|{)N%W_YjL^Gz0Z+z8hT(}yqV5>{vv*qv8WQsm= z0kt2AETTQcIO7@~Q=Y0w65JQC_{JhAln9Qs7Qtgm(F zoP79U(RRGX==ZAfuv4btu2?XFhSI7Mjm4r%`nmdBsGFJ{nwktt4C`hEV`x;9 zBn5%AkFSprB6rRB^wT}8lXXwvkV7GNyCWvEFSd>`op z{^jwv`Kb6-n8R6LU3e9z&jSpQN6V`mBb&_|H32}eg4np!BCmo88eQ?L&U(CXF5iWN?gNr2ZuO&L zw+JT@cj|t0KfMP#8OW}5BuaEW%ZeKqC|Q?k+&?;e4b{RoMzd(J(gcAn}U7OfqlV@O=r z%$iNUS6FhaG3d_0zFJn*nE|5i#L?^zTJ8Nby151wEzz^%g|$ad0C|5a9s}VOvDc$~ z;j^~x#k!mV&&HzFxAt@2c1l}b>Kd#Zy@+B72xrj?Bg~rx3QTo zanG^;wu3;m24((S90+Ht^cO!}hZEZ|0G(@}B_t${W!z0D>q`yCJ-)IjieUX4oaIWR zGuakhv+DMcW7b}zE0R*I){MdUj8lXN3VeG5gXV$S+^$2`cy!1B3Gc0U3Z?1S1fyJ* z!OVCC!#E)%uYgQM-y=;LhfnNOgSDNUL9H9=xBXEwIuB;Coj$NhLbug9FeK*ANcQjF z0yxV}?jAEXN(=WHO;hkwZJTKI|7sYaR5BVXjPP?fQgTeHj6@in^w$nnl`3#CJO50T zRK}ncExFQ6kX9x>Z1%zAjZJ^%Dv{tF8s448Ij9JNMb=cFTWk6eN)xG`pln4irR8rnj zQnL2KI;@uCbV)iq?wfj{Vld__gb*}SDUVqQBbyExw-Hxui?yHd#`NR|1L;ES-{fEmu-kX=hy>#j;NWp934-+8rlRpBvGkguIkH`6Wu$1MCrb2js z3c55X442l1QJy{oU=RHYc61WGJ2x=;{oUi$5z*zgN64xZbSpY#-Rt{NBj`k_2l#OM z?D+&9>v2(QZ7c;~Os1(Fgx^l5B;Ye_8ht@%MY2+Lo9-EEU2@7|&zYPJ;*}f=7ZUn0 zaYH3NqDIG9^va>)*yctyCbq7I0W6cs7s&&mTdICf;#ziC$RM1gFEX9nr{hgP(+|+!8m|@Z8qtF%650!ef7_??S#7|=KuOJ3D>mst;QvKT<#|_B>2u6RVtFk zNZQUMP42!u)<}lO*#y~>Syp*rAVq&tr~8{@9$saSZOT~ioE3=diMD}%vp!WoeH$E} zm3I}8z*q_Y^s7^TF>uqLD)?Y4S$`9RJ3jg#^jF21fGlxx7j`3vwBb+W9qP7xiww5t zA;KAESvnf}rIvj|`5csv!VjK!JJQ7B%Ot8(pT`C~y0^p07NM?A#9$R|JT&b_4IBxU?tffY2@|}2x#lK;&zXEWo>%pr z+OJ+RiVBm|ZKu5`50!YbFavH|wgqbow3jb+J8O?Oa7t6#YNL)j+VW$MeeJR(3rVvmIv2}6gUoG`Rong*gklx!YF>Xm?`&gw?@CHqB|uV( z^07XMiNBIPJv|$`t8fMp>0mz%DkOMXxkzxXI~a<7M& zscE#XV<-uGa##*YvWr`nmDHsV2V!I;xeA9lNXSf_%s@z2c2B1$m7cPO6JuwnOfBqt zoJ`Mz%%tll=UlQcC1!b|<`xy!HaBmFxLVGbV`Am@W84-KX8&m^+Y`PPs^)$WtD($f zCB_s=OZ46BNp2hUs#jaM@ab6AO@v36UdpFky_kaHV+|&^2kT4_#~rG=2{3*g_{7SS z0^b+>@cyy)4YBg|TD$M0B?KFfPWC&l*|N7J4d$1Mec zD5J?4ot>GHlL^YBwoDuJ5W}2gaF9((2s@iXsi70E~Fk5K-Dbk)Sq+X8(oC zCh+G&78fvOx2WHIKJoungKa^;kCkfxqqaB!YuQr0l-Oh5(RvBB=B3;4A_cYVEoa5QO#CdFrg6yB|I@wFxP7>hXs1IdNu zol!^k8>x5CS|}%9D!Xdq6?Dkw#%AeixXLc54yU6xYv(E{nIG}S^`(5DAa=J6Qp>ER zlsx0?+*w+CA5`(eE6HA2R%2RY+@3$|zi}3Pd~5sgTIWp4u%1?sK{XyuG!3i0CFAZ1 z*HX^S)dtA6{o@pTB)%8{S4%hc#&*w!6O4CgCCbSWTUgTd|KVqmtqsj z(%0(E(QD6tI-Y*SZsg3vG&Bjcu#quS5NV4mwPpj02#;D*#rl#}eeWwzrR4ii{1s=bIX4>uFS%JfBt?{6nPPWb+%&iPqkgsBi;=_vJKw;;v;vktiVb4;~ zC%dm1ghpLFfB0>OxMXIuVfD)%9M6N!=Sdx%NM2#WLZ8*ve;()#NUtyD=1Se9f&Pko~evxUSO62C|xJ}qkMMfEGZrYV1 zXw zJ03(8I@z_gVRj_46C{p{wd7i5NluwjVJ?%R;+2!$gfk-tDFmLzn}U^gy{2SPM)&G1 zt~MN>JNghOO`9-JVFg$m9qL5hCSaQc-ROEd)mkp&hb4^Vz@XLWAFFGG1au*#s|Iq$ptdoX6z z7vaDc#<02#B)!)esk29W<7F2OiBWUtE&MU+T(19DugS5Sf4*yKq8ImTPHV61(pg4O z)WxRyo~#__Zntnp@-ik^mnL8)i?Ea|fb~AOHue+x`gtF+3iu;uZ@@Bg@!4~a@m*8X z6Ti66QNiUYanHc|d=D4#g_UJ38xYm9t^Y`^-dtv!mLNGt0Pkx(c9_;To9ah$jWz1z zG3SyEiUbQ6%V-`KL?^QyC^Zd0Kx#a)0stOJQvZPac`ggFtrXXG^B~KPCz`u#De~J( zWYXUBHpnTSI|53@F-*wh)~sqCK*iD%_a%-c--<_%QJE4Q#Zc0g+Hd}DX;AMKn-Tc* z3XvEU6Xqh-rpFg2WoKxQqAtA3uKJOQ>?v1-E;tW-MqXBxXq8CYX961#JX-60y@E{k z@Eyc>KJb@iv)Z_)2x5+qYlvXhOAmwVGu4AQh%)mD)K-(#{dvGQ~p?lCQqQ zyX!Eg|3~yX$bhVca>#Am*5e_49YriCSme7r1?%=yb#>k0NHohDQX;3}z7p`yh|v%O zdF?iJ&*fW#qzL>yZ&4Bn^w=Rx`QtO`2z<4UAN#0{$Bq_JP!d^})iiAszCtKX#JX@h1Tzy)%yc(RUNQUf435P|Srh5N=MNTrcq+(qpO+ z$M^UY@NhsaZ+EIx?-b2Hh~AEShnn#uxe8BqoKs==bu_0Fdpej)j@?2lFAkqOzNljn zN>3?vxZPcxc-4mmlMJABRd2O1=LyGKZTSV9Qp%zlh4zV4%M&86^y|RGs7Tzt2+`Y! z`}DC@K3(~TM-2++`;m|}sIf$z>20UutGNm30|stoE~1uTW3FW z-cN4>fDib69VWq)|8MRejVI%)BWnkK{8YHhKfb)sfEA*!9-Mkd31oo7>3tbFz@b&X zn%wUlxzioHVKWT7r=g6P4Td`AhA{HT=Xg_B@kr6OK7Dj9FVeQzO>e+ zGv!QbeZ~Vd0_c*^S^&kz2*$){v=^epw1HQ8b9_3IuMXLg2aR@j6!C+KgUKbcFIFB| zeP33nrx&JU9)M!tVjfyI_PR`k8Mnaq^;+19N_2ZgO60~X`Ua@0ZcT%DXD3L__6xCr+NSmnQp=i&6q@_qM^L+I@*d1rB?M*r9 z_08>MbJIcFvMj6?jCbAlJil#?MrX++^mbY(!3hQno{YkrjxH?x?hk0`04?LJoXeQa z{_qh`No|D;<;UcZUkHNJE3heS8D8PW>29-Ed4u(Fy4L>wX8Tt*CA5=|ZTSj%i&8wx z6xqMz=Tx2M`Wy4;;B(a-cR0c-OEyqT<_}KU^xy*WV~GWs+iGL2mwSrC_GcC|7>hvD z5mc%@mu>gkDh5k!xF9c0^p%d4u;99wm!Pf&3sH1c5d5}f>tvEej0Pt4qb&Jcs&)Ef zK9jx3uNlD&)8$Kf!GU|}*t?8|6j)$6a|Ob^nSgq9Upq+XLVd93qi@hdbc^GlJ5S~QUZfK8(xatB(xSIJL3_22FQC_ zzI)`<0vLSdWw{EC@RS3jM#|~3e-&+%@Yc1?4-<wT2IAfbgko`ho{;_#|9salsR2wzs10vKz}?qiM2})XVK%jcJs9YXe_1A zbiSjlRA7JguUrGESL_r--xhrSD35h=fr&Wi`p8KK%7?uDGV`TqH5vVBwf;vb$FSH2 z`?<0Ydi9qSolYrO1JCr#8<8L8hhf{e)&#q;c7O4b3(j)exEitq<{xs~i0<=iIgx0@ zW#L`!;#y27TIa-(@Yc3y*3VMgcG_oc)6BW2b~q!KNX>=?`0j*(lCb{~#zxc#h&_i|ix9X>3l75h;e zh8i8m$W4w2Bf67bUkUend9P(~@GQ!tQa)gE5urTT;xQG4D*##5yu9AGSVLqfomSBZ z`~120>(Hy2OPRPaKWM(8^Bry>yuU>1;Icb*8uFq{O%A3l>oN^p_EHV5QeLtSliEjC%vu~qVAN_^NaRDTK~HnSYo3S@K#l-!P7)2v7%jZ4 z0ieg0Uu#!g#k5%PhYKmq21$mPq$AbOIc)> z))osg#`M#a=wo$}yc)yS)D4{GD?>y{nRq0=5}p6l(oPj62Q|4jnr3KfU@r`4QRr3(djQ?;^e*{fvnNpU)lc&xix`{~Z*}EjqIf_V^8LV14QT zR`7!)a!)Cpp&&=2lA(8g>-09bI>EU)85A0M#6AwTr)XXjRUw){eHPeB8bI`>#65LsPt2 z(8st?T}do$l;X1Vjh47Xw#R9QGO^}18W?dc(f3=D4&6uvS704f$4_ZyIvQXTj;Owo zE)6isi9AqTi)eZ-UUw4j^#x~tF7Kx87S*_vHYuySptx?8q|Bv3@t>@I5-~ckm~||3PTNR(2 zr5e^{Gn47gVzEo1N0FGvRx^j)>=WxIeOGF)6>@p!XW@0WubSdPf&u zp=^QMIJM+A&yU&-c%vt%F1MrgW%B)%IEy&6{jd~vSd}?Lf8h#{%Xw)RtzR_q+awrOX0>|6W!N%A&b5|k2Np)0>w zMLubste5P( zi`9%o(MjXBOD&KgbI61l`Cbwi)zE`tr;&HgH`^5dY(p5X=IN0BDjMf zs%4|8uBJrdJ-LqoHiaD|_ogbg!17(nQp4YruaW&FcA?jlvFseozA8$amqz5Ygn!f8 zS+t@(dYgJxXzL3}<$$S8D5^y{NXB_v?42;U8$l~>>tOA>b=MEy4iq=AVMq^Cuis&Y%5~IQgSQm8+J$Ai2MeGZD@p8|}C;0-Cf&=mv zO{sko>s;VqHRJX5)}J)D*RwG)x=497>1p>N(bva}wW0G?r`6#Oe#fzqjsE+aHJ>KW zOMLJ1H5pS=YJTXR+ijNZ?85p~Rb96_V@jdD`G@_yAb|$`IAYV_teTJah#sBZy_3;Q z)te{0V6%(FLRF`j(39e1u#v+m5wWdttiNTg=+r~p z1z89?=t*m}#m&id)Miykb>L5CeG{3XIT zu9_A>hLu|O)h?W!>&R9f2%x`i?uduf>HI3rZ>uKRWM0Y!kr}{+(O31nkHIye`|Iqj zC>pk&$G5u;BrWjwNJHaT=DL~*;dk&tU@lFHu~JfugVDxKU0U}>+{J~lHF$} z-v@r)*f9cF(@z@yxj;bgZ{9@zKPk>m2zs#R5KbGk()3DeQN;;xcluMqxISIit7@s) zg`l8qKV@Ft@ojOj~IZrX3A#^NVkE$^6B(?|GExwre(&ia#8Ya#>L1@un2 zh>cyOpk>(!Rl>Q7DM#~#uaZ%h3~}6HkP*cPTf@lF zt>EV2%!(=az-G&OWKXLb7CD5rJJUDHEYkW5a`lIt*VDD3-H{{hkYxb8aZGmjrs!cS}uqr8XPceTf}iyIm;L2H>HJ2BS~=#+R)jBjrF zCzrj&-tHV-rh>sC;vRAq1{ms1lGEz6)zt*HxvA6@OwhK02P_NVh&__n<_8Odr~)vT zRf!fwy8aniora!Am4w_U`ih-Y+EYxan86eo(~}nJxRcrXVLrF~=9=u7~Dnj05|T#y=bA-vWMqut5q{*YiQmMp0%7 zpRQ2;k^8yg6o<3pR+Mh z*|LT1s$WjH(=R5(xsN3M`qSt2HYYhtct6vXiL(HL+9-bF8Efj|6*e}a&&6qvY*fI= zkwur2>_}XcpYLk`{O0%K5NVol(!^neLWP;|1RGgAf28nGT)fm|D-qLSu1Ce;V)pMJ zt)X)oP0q{9B%qv$x>~45MK+@v22o+^AeXAq;$_-_O{%K!5J66><*pCjkFLQ($Gb+S zXbGKVqw~u&u#fBI&8{<50y%Qo7vz8X8*zy!Fc&YuB9iPyP|g{JPXeTInr8^z%(zZ= z?3Ci717GcV(0gh`^Th#n$lN#hH6=nrqDRLzPhXoE1UoOn$nLcQaGuq()PzI zrcOv&(6ky#N=msj$HT{Mmv0*?+(PbOXbE-G9*RzTt#f3K1gM&#<<>|Gj=P#wWwJX* z{uAf2kS(v@fP7QNp4d4!q9ALD-fyu#f^eusw-BpqC6G0gOb%D3h(85osRev;Gn#Cu zHmpwoP_Evuczl9h>QX~Ij>SPYI4>XJdVb#+2HH$|1#Vq~Jtn&giUg=u8q|oS9T#w$ z8rQJt43#B*+!~u3A3oL7Pda_DiTqG1Pgfv-t@^serS`u`LK+G0f>pBCH{bbaMi0T^ zRMt0{oAaIjf^{h3oH5`Hk!E*k=bW;4aJ}*O1@)&(jF6?7;d+7vCCh4I_E|K`%L(}bK8Ae z>Ooz^ivt$gzNGM7&Zl41^?O85%pl=q5ni|!bXYc-UfNs&yb~8hfE3;b65-Rj102v8hO`8to}H?ysXWIOCP)5(shB zk;B9lj?QL~Wg{*;#0$rhAz@Xw0C`fc||l7KOr@!7}x8>8gGeTnNkuQDB6!68XF zU7Bu+Y`g)!XISq>9hgd>VKk-0_JavOy2u`ZHW0bdo(xTmHvA7CvLL6>Ds9^6%$y;p zKdeg7b(1gnbrm3bF&!p)<@hGP0gK?LRju5Ya>SIJl6lwvv6A1KV9nFU)6L?4>2{hA zNJ`v$j4uB0O0HjT1`o&+Ck=cf@WK8pj8oot&CyoQBd^O_m7Gt_sQi9UUdMH_=F3^n z6DMcqiThiY-I{%_|4~P>QD1P6Lq%ldby)Ye4!>9aDphpPwT;?V;>t>=E2&&%YYgSb zD-RY1pzc;3nSL=GgILM6owEaHelbK)^zz?_59w~~2(DeQguJ}p*Hd20F;o=X%gGSR z6tm^jXt-+l(06m5^)Hi4t`(7%@V#4<>H@f2ye-%U??$@@lsm z)pl3`v;g``oMvGMH$bsp?@J_#+8eXPUIPBC6d;A~oKHw5agoUNlUZCH`O`PrnC&77 zkE&|zzIXQ|8q@1A@*m@v)}pa3gEaqX%qt7su zBv(n-yv&ac#BZxtqmz36=aJZQy2{q`Dtx%HE++Yk@SeCA)iSAo+>KLTa*^KCf$do; zS5^m-12h6To?<%^;b7v5^{#He{hLu^H5G6Big!1<`l=K2Xy^G1%H3xIdWh9o8N*ba zE7dnYXr;l@(#S{Pi(@+b*NGT{gmU|>Kc9aT50ijM0R=%pFU+OB_YI&}%LFZDit=2v zX)Lk-3{$F1Wr`dp=&`lyoD<*c;5ZXq5&am)-)+iv#Z1*=8yymI1hNtQwc;V=Y3KWi zFxz@T9&y}pGVVLCgZ(SyLs>jTf5!v+p-T?t&Bz%yClhji5Y68=U&anFgbsaUZH9ke ze>HyQ$0=gEA;peA;PoQ<=jrYo(kb!8X!`t5qQPd@zva}I$XHQzfKBh-!JoUg3c~z2 z*PzsCR2nu{R>7Ta*JdX3wM890`~v$)w1ibd*Y!~eyY&t5vD8WC7`|VnjuYg*(ZBzC zfsmRU%&ApaOy0vofi}NIlXi9bhhNIkksK9U5KZmp^b7<`YV}f6s|d7jNl*0cT2oOI zy+YKLqo7s`pM^3Je|NcG0G~mufN#MQXgVe%Y*6j=v6g9c2jGB3faPk8v#S0+?PxY- zr0>r^=reRWJOR?trcQg_EXPMyOy@=sOO!WRvHzOQq47x263901?t=ls(^iHft~cvP z;1oW`7r*QW!(BiMUU2omZbxHH?f+TFJdfiEz94BJmz>hk*(ezjc<@|fPqjM8BF7Sc z+--5$_)4z_-}BrG1nKG-8xU1RXfl^#Rbwb_O_@klthFbjGZdD$9t0-{NVR(Fx=U!@ zr}%vBx%RPMeO?6jiqJ2f+l{?yE%g^4>9YWNh$`~B{0XKVo(Wf(?lkJd`JH)EvB{EHmhlX$ zUUwG5+=^2VON4uH`JON(6XjROBkTg%Tl3!M7zyUY(dn1F53S&XBkf<$gP_=g{cp(E zhI!bFFTYY;UX&=kl=5P}f02J(hgzfqyIP4s%K(!uMkzkAa6hTh7q|YjvsAiu#k9@0Kvr?Ihwg8eK+5C-ucY4ed)Ut zDjiOOs9+@IkvUjTI(Inkg?oPE(Mq(0T1u$JVi6kOw68>GgE`))Xgeo&)k>ESyUE7? zDd2g4Rk!GwQs3J+Lmk9Gby?#}2^xmN>Y?~D1LiaZJ0Z=7&47$g-Z$Eta=LxaXdL~?lLzbOsd&hLC&CsFJ6VP*pgTEfwAIStdHI46ZNA%0nD z0liv(Ud)d)z@V;f&@QS3P-6Ut={RgDd__FyS4~A3E9nQKSQ(%mms73>^$Ae!o#m>-|asmrSI_A17F_%P_a#UK_~v}DS;lu)eW zWCG&(7pwyPFeJJl3q_Ppkd#RyXix9v zES6rp5}c!rH<>herVx&Ehn+(g9{AX_=ssZjEVS`3Rbv!z>IKJcv@}@odRYE&=F_Vk zSB&^&8WGdgUPEJ8s%XUeeKP#jC#tZR@RD*DyPOnd@-+nEOyoA3(~2$#rDKfH%6a#l zb9M&brH!H9@*ZSzP4qL2Jz!SVCNElGKi8g9_<7U;#8G5qpUpZ5|Gt^z)QDjZUxxou zW58O3=UHe@#|1&j%8mF%y7BNNG@&qs$X+VXN!<^{WM3`qn5BiGK(yGz1o;ld_GE5B z*Zolh`*oW=t!Mic3*`^$3inavJ@^Z+^gk6}rxuX% zXcPS7n9k4Jl(LsqCsOhK!{+mgVIbz*M zz?7FKjUANV9Hu32PZBgwn=*0CYWUw`R5;6yAk>I3)HE2Dfe^cbrgy=wRk1EX%?Xdn zKr>nRR`2 z=`~aS(-`CnviL0trxJZF3LEK_*>lx3riY0cGw&MmC`_P{3Fo1T#Q1$nEBZy`y#|l4 zszp~soO(^E!)GMkA~TR z9|$!i>MFBL3dky>*Q$Z90`5&$hE=0%CWaM+vuxGc`UwiGIpxAR!>do#E~nLm=mkIT zL|YHkKesu{Y^ZR0NbOFBVELIZr^I+J$fNZe-@TD39N6^-IWP0Pt=kSG<NA|hFEB;b6Dk9%qD;w#tRi0p6j^JtlTbUnVNSRG zOzLgFRFN6m1ogX{>(b(!`vNjSF30R}{5my4|60Oyh7x$27>NZF{_qX!-R8H>vfJk> zl#;^kI7yaAQVRndCRm7Qwp`6|*pba9%hCyVF!p_x{eaR@`>@DR^~;^W$ZMTt=rqU{ zMXHW+t6_ajX1jk=TxeZMql43R4NWYF5vF^R0x-nx|6SXmD8Yir20yN1$zH8FvdoIW z6nJJ6?7geewwJ1LakJk8-}hf8%AgJ)HSOK3GC$Qo_!`L`j^>tXQTyv^SV=lU26e3!|0~#VMAOK1UJlFuBbs)o{0Lz~ZdZ|&mpU>cT!SF=ONuaZhX<|<= zFY|0h*xEwz5~vbA{>jn<*`a5)K6~W8-^qGY#@-%dQ4$*J$|@ITnEubbAXtrN|Etat zri;K;2Z0>Udd|g@qmjmch+&5;uKR{1)N%^s24KUbTgSI)&>~go)mT8&_hGCeABPit z&SdiVZbelNwf8S`xaIo)&jQFqqF2!jPV}KYMCkH>dxC2CMu+Qc~Tr83KD)yRKH{YjETY{WfwS1t`tHELx35hx_W;HhaE@dK}?w;)=>0o;j z{W_EumgJsn`N8Rz*t&;fk8~ANVFd+^7)8~K@D4fL%1x1x4gcL_ic1#sgKRWU|Lgjm zAG|?!7qHP8FY$T-^1CQ4xRNh3fd_;EMY+#XqRW@{Rjaa# zO!8{JAC|0u507=J%YQa5Pv17%yij7vDx>991Lu&i)WWm_vM)uW&Cxt*12JAUOC1pk z9pU@grhneA^{-;%l{Wln&s{vp1KiKs#;H5;O>6b;p7bzmDY7TyhgJ$*hQE&r3wbk zg@P?%xXItYM!v6|-$dhLbXaxEkeq+j?Kj4L+Btcol>9C_Dv4)1n((6Jk{ zzfuR-Qkg2~-o4#T{A)M76&P2OE~L@@8q-X}Vu*pRJYGa7HHgg2{7Zx703r?0q{7n4 z-@jyvKrfPP7z=CDB(jesnh9a&;A5GNXlzw;ZxT43?DnyldVbC9+-Gbz$YF%~b<=q4 z=Vu9d?B$;S%LhLM_87Tpza#$mK%uT=ZexI5DO2BD7@FuxgPzyb0wit?ej>^btj~h2 zQq|Gz$7@F=Ai!&g#&(ns-;7V`q_Y>1M!?{;|35^11x#Fjur=1=?!^i$R@~j)-Q5Zl zcXump#ogVZI7@M-xH}Yg_jmvA%gdK=6Cj(-?#86La?`=a!+n9(-o)8ujJ291ILdYO*L(d%|~uWrtfk- z;`>$F%>pUzzkv}$@?!1xJ73zQpIZ@u<)W=~rz>BgNFg%cuRx1FPhRIwciC)gYz`l9 z;D6SJ9Vm5DTg}$~1IU~{nBYy4sUt+Jf0^}pmDGPR(WJE8o+H#O@-(SFW;HL z5z0&+AloQIbBl95mSO1h(B|&AJjAf?&P(!nt48zU-`o^Kov!~cBWG5Q5)454|I!j7 zko7ZN&ZhcB`IzG0K9RLa$qWhu2W6i(i*cuT3v5H0;+keU7X%9DwR;{HYXAn9qpf!H zx~~LLInG~3LXW@?pL6fRhORC5QX;oAW`5a{=2Aw;x>|V)!_}Uoj?m>1%$r0Iv-_mY zzsdCM->(C>25BtD`IpUD4R1fMS!!BO8y*Eyu!^q_HC1|n zuKK~7gqxfDEr%6ANCb0$OQ7(j!MJa5ujkd1s{Z1P=k*;Ljug)N%XZyhlhrI>3Q1mc zS5Se16&^H{F76y|4vYL%E-+mP;-o#Wh6=MsWN~B?0iBJ_%c}|QiJVG^+P9}DaF}jfjtpX zd)%%-HxRs2ryWyuR!NCf!AVf;xxp9*Pv{|bZ~spn8OavRcbP;n;Tq1F+Nw|p5jY)Q zC?BOwnLp^-ZyULEnPn*CBcESb$4cwZhlqaR;?wc1kMz!(R+G@~+9#KFQUSMc@Jkdf z!+@sRBsMLM>gF?KcYzZQquywPYznFrghjzHp}dp|F}Gc^7-=Wzo-_f$8@(%ChZ#32 z|8Jat!TYyIgfW`5qk^M}uc!i-1R&|16>Bhx7U*)_eRB+WtfD0RMP+ui%^cjnR_rD3 zC~T^*#Xa}jF&BO)*83YcAL#s>5QNv(`0IiU1_xpTudm&3@C3hEP&3<8|DIG{-TO0o zOAyDZgk}*r$>0v=c3>4&qsX45^j5w5{Nur9|80?=Ov{PIt~7Yf2A8-65gt=IF#&{+ z`S-R?{8{295JVN<4kbr3dZEKFng|gQ&ybYFyZ#MV8)?_=6W2C1iDGwG_`Q-3=nLsd zt56FxI>OGxXz#WXSNqx@e?6SM`xv?mT{(p;f}(LM)qkuW&c>{pug36GV5-Co65BI` z+3TSN9RI6=;;K$Rc6R&DFt#Bh=ey4-bgfyHwXF>Q))J)YIFb!OeZj~C9F(64O+Bx* zpN6i7Yb3Q@SH9VCJ$)wLxP$A(;%m9cbsLMrI3?`iKskBOlxir7M~C*sr3gf|Hlg%V zjDV3h|A$@qW$2|2H+?Bhj+v6^DihBX12-Ls7FZPmtUP?oC87P9ou)vz5J&W+e^98tY z7bJ2y`&t~D!r2X=q*qjw+s-^|rtBt)gde8)W+cSIOpa7Hg-aC>%CzLaB}#~b&1$mx zr8&^=u)f*%G!s+0Q4Q+;(*FZhPD|j)lKE!pw5V8n>&k^!Y zZ^qx)cw{_n^R|-tH+W7fFj|qT%gv9l7Ed)^_TVrD(7%t|%>Z#zyJ))lX zZK@27+~8cQJjlBEl+`=#8bYc_ZFw&>9a$0UJP3vCMa7NSFy7v__RL8ey@erRUMBx? zx*1g;&*a>UG)JL&LG>9vYtc-2K$o0(JY-y~O$;1(Qj%JJw@FH>NjJLV(}=?3X;-`T z8{RybdgICkUtYZKXj0W%DH55Bf4;Mt`*|VuZ+^F?Bw80Z?W0VWQm}BE-c#Gx}SS^DZ+~`a?*dc;}haXIOI|8U2ax~Hu?(RgCj8wjsGQ} zoOg94kgU0}D1z%5-TV{xpawZo9udAPb$HXF^1Ct8g3aaO=1zShGNayUchjiGC`C~6 zmSF0T2{1pK%0Q4LyJOk^KHckHF}&Gjwc9RHTpQ+Rf4Gc!T3n?Ul&N!uSmmmExqt`} z+y81dU@ZSmxoKGxtB#M!9>J%2$F&dfTQoYR9Lp3)Q{fgbdfcQ0q{OByKN%w)^g^p4 zh!Mp`48sdzpWXa_&zOb^DIWZssy}7pOoYjF54Ad)v?&2I>3+W=q|(ei{>}UAzlW9H zty_O=|A5hSxxrey?8Lw%%P0&MLsMM z2c5r|xUc#>r5~=g!!MlIvbawd4Poi-a(ahxFU6!Gj0pwk94nyQ&iBPTpDdkEohK06 z=(-Akck(#>xc-?4cAY@5OI@yYbtOB_I8JwT;b!csorEeEfmCu8P)grfiTWEE*HkGhHL>2{uZRbpcuoeG_SFp}0C4;h6A0-6$S}-GN z`mH02CqJH?9{yFhYd%*dO0gB&1mI0MXZ>fSRcY8~Q8fFfQk#@0} z*q?JgnY|w@=)6;5Jaj)9dUiH%3L#|f9UN@`BHG*cy7CfEA>=CE-*w{VZg`bC-tip%jXu#GLTq6XheV3^2169!iXGW2Dqc_ARg6^al%O`{{twFBhfH{jLl^7I( zKXyY0>B{@yJg2;zR?>sTQ^JHMvgO1xoZ6*Er)9Y;F@|C$t#!~Vbl8PX>kx>8qV4w4 zuJCm%W^BJ8KR;mN)JM@zaY zCq|X2$A-}<$r2zITrt;~=rFW6l|nxE-$1*&hjT4$$W!CRuBMZbO0yWWNZZ`=nxx`q z!^M>cVAACkR&WMPWO5cD2G{yo;kVR&a2O?Uy3${;+Pi&P-SDtoTVbt8VGV(JraCBS z3lf*%?!#;QGPyhbw>`183s|;!;GRIwBve^bTPqDS|RKh zr5;1GLC;D?s?Ejy-Sx*ZvK7UnS44fwx$+&ANBBRDyZzc_a zUHYq@uT!Xh*UXkh4)(veJV=FzOhX*>Y{!pG#V~UHWazEK>FSTzsjM{^+!>I)e3D5z z_N+Vms_Az(1{3XeEnvc*>)A+aa(9l3e*iHV|BGmtgIhoAw8MYzjplEr-21=Q?6vy| z%Gk{0&b-1J1C!NQa^)<<$LlBObb&62mZ4y}v9gLts~|ev3o7y0vOtQc))Z{~hjhf{ zJb^!fEA-S2(KVreo4=}*jX8-j#SG)Y2Q#6C-3hRT_B8n6Xz+Ye)iS^(1Ej223`#mj z*Y-nfY$4^rXfWP7aMqviY+=im-wVWVzd&Ka_n|FV%!=VH@Mt*$3mH& zUlupLNm%o<_(`&{cYMG-3Zw{0B9w(H9n_xD6U`x~Jc}^GvXnx0=5)wO0MrI)!7p(7{(3l=6$=1_u3tj}OG1<8yx#w{E0YJPyV|T6y2dB$xLF>sJ(mSMaPqWX4}G$- zjaPh@K`ALmNn>QKejaW5GLUTkhD`1t`Q=;s>lvb9P%ry*#c%MNP~tZ?pvPMfcWS&3 z@60lQ>QWHd9;XPM&KlYcR5Wme5+T-4zS07_gJ@9USk?c_$g&DxHXO~@30J<`aKpya z#Qmyndy1SR1SvF2tSawIKMvcqVSV?H#Kc6FO4Jvbt0xAd_s%3sOG~5GF~ytlfAV`~ zvU__%-r*4ihi0A>Y(!@8EA5GZ+}}rFlGh$yQ{Pu!r}sYJ74`DbG_G(2^7^}uuP;z1 zOF#Lm4qXU&dc1T(v(~xB>Qgo3kI~BUam|mKhZs zipJlU8_OPy-XSd6KTzSKM;#y~ACsYb=qx!(Al#?ic7QX+!wdULhybh#3*2B@4@3*h zQE1P2$m3~5lfav0BdgF~C-WqSsl``*lSp1Ku`(eei!_FmN-L4Ju;$eNftz;qV55aN zO9Th#=U*)0UxOXE)ZgWK zad&qn2?zkB9%{26JAr?L#uK$L_je{r-E=|e?sXb1nJQ{+#q*b4&*Pp_HU0+q(()T9 zc;HYv;8FaS)}mu*f=9&OHPn&2!GaAXKYVcgm!OOY+@iUWU?tk|lD18f#P-3}@6E=2 zVL(lSf}FAP+WF*$>})Y{P?V(beI)V5GynNhFZ1d@h?4lj<5SYAheW`?L)0~|qM{fo z7Okn5joF1&1cm_6T+gf^7-+V*l42qtn7ztFX)~jTVX5AKYY-C-w2}|Nq!26CLfI-q z{-%|*FPoB;>w?E1{~qlk-(=QFj@ zl&%2%cki{_H%H1c`>F$03w|+WRZTB) zN^^8bkuSo!790vpTii~|^52E{feHlp?UPU>p!X-ECA$w&OWh1!;hm6ugc>dlt5duwSIa#Nm`W3)oFL(90L3Mn2iR%6;O6E;r;A^=7=DS6G_ru8Zoh zG89+bRh&?T&vG^cxv^|P-)@C=c4!PFr`{F(lcU8Q(5SLAt0zs2!U~9Ocst3B+AU|7NK_03hU3qKgZM}%0VVkuL{s)3Y&;6GFCuBdgMH@IEy`i&5mKQ>I+i$66GlE3!IL-s53 z2|gQ7YzdhHUxJ^&@DcDnEFSzFXQ0xvF@$ox?U(KCpEFRbc{KN8?&ak*d`-Aldm{5< zOO;gbNkjSXnP~WIJKt}ONadyC=m(_0cUT&rS%R~HdUTKs!uXq0+02~&;)#?raPJ9I zMtEVpOjn1@J1Cd_n8>qNc?Q56gLoN`sGUn=n-7*vE*8HvI+=9;buKHUWdD;;KjmmL z?vo%K1dVw=t&L^2zP_46{7Ol2Jc;w~oe+Fw=%=hNY@>!8=@)BIYgW$TXh27YrXs~l zg!^30*w9rXP6?rq8c`($2h9VGhNNvK_6t6?!at$vtc?5?)!##Q=84nJ>&q;gqsL2P z`g;nGOw{Pmc(!%cE=THFhIF2XL(=$?DX)2rxv~A!$Hb)22=$U=m@L0_4*2a(1X`KG-t@700jFS zSOu3Y!aIAwfu(!!z9XLNd|&vDxcpiT-$jng%H{_Y{P78arHxHd-IlN2du({)l#AoT z+kwMoiUi83t?;I`Qar9uiu{kw=%h+>3UfWr{batbx0X}i5fIv(rNEM?T}tLgUKpLW zJtM2h`r15Z1Kbu~8-eCiWWTL(*G)*>C`{j%FsABIbuIIDXwu_MUhe&(*%!3eSgck5 z(%C?;`c4@>4#mxA<2TOtyzmD>xa++_$LojkgXj00k?UxA8}0k2{a^CA@J7o5oQnfQ zq-My5#!q>OxQV%(hf8(FrUgB~!ck|?H^@>9ZdHAL=0*$+MjbZGHx?S!m4ggRTc!rY zqRlSL=>i(jNl@&^)hHz2fJiivDUc)asRuy~c}YG@YAaC!HfC`43ip?9U1o4Du8rr# zU*kG?*jyE5Teh}sg&}HqXKe-H{uk*2tq4KuIs6glyB-QJUw;24h0#Dq-YfCzL!ON8 zYkHrQHPw%dv@mIl)H`J;FK=Nd5a?b$)J%U&tf4#gkLJ$q>&@=*W=6)@+?Mho#4355um!II#bQU_)!kqDEc+D zTAYPya*_LO_sZ=CJM;Ax%xh`KfnE~1dKMcZokXuVT+aegc|Nfn;XmLXTyf515a(LM zo_u0uVUT*p|LZ zCw*$!LZ{84P-ECDB#Ss2#K^-FUh(a7>B>##v^ zCrGDH3oMFR2PZy^E4?#T5R)Jtmc#H4E?rUBMyJbMtd2@${n7YX!Gke@VT>Hs zTIsZYjKIrXCW=MxnR=_taNqO?E2gXD#v$V5a(-)h+3xnW@x5b@&1KEx9wJKpJ#J6p zm!{}{tokdNa<%2m)0)wH(=&X^z@dBH-Uj%jpsKg^AAWa9t;KtB{fOF!)|MQJi561Prebu^0tfQG;a1$c$d*JCWYI-`0p_&Y>r!>llgr@)F0u1a?UwVpCd{T!!^rGA!q|$UyLq5F= z;a+0CIv8l^LveB-lg5Z7ODJr&JUuR%JpKM}JiXp2Vsz*o?NA+7T?mnsG$iRfAabmH zKM)OeWmMOxV)1YueApW^R5^%~8X^kmSHCbqBpC6aj=rG!TrQ4QTds%Na|V7g zIPCx$)l2M@2j!3Za7*G1xsh(UoW>G!j~YLQfjBZ^_0&!u=y#N90?TmJ?{ZRpDu*-MPc9E(9#`*sj=T?1;#n-E_F1&UBptXaCY>pS zWHc=tOkba@|C_JH6;XrxUg?;b^hsDx{iUR`z~X{Crkv21 zAQ?Mc9`cP=Rp6nq%B73*>8&VkPSymrB~(`OlHQd3SFJ^(0{sHt!!@OVC*b`jBP*-j zd@Q-<_o5h~&6;T>qm1p4bu0fk3)^6mm}@)Gw6MVSL~C5$gF=kex(+zMKx2r1f}*E$ zuFC{rUpAO8viSS)-P^4_vaz$X2ShC5<~m`h_=tE5u{*HYJ4QmeH<06f@pZL6faT9( zKB1PwjBIEG+_>-~z+EpW6+?k!AT#M+ID9kDEb7E)I#~~FY>)#r%O^Y3cH_KZmSRtC zLT-MN(qo}Sp%f5Ex}cO(&NqDI>OgNSNL8Vz`z}~`q~@G>FYd_g{m%&QC8rR9pfO zud}}fGGP7j5Kk!FYxt`#bhtx#wkAylC zCEBa}_=ZR_-TsDe$YoqOrfCv?_GKso#v+mYo~Up?9JPPqOG>?(sWY^33)9&@d-w%d zS314ipTSAzsJSl#3of8u%6D7E_d7rQs4mWJ`|^ij*rDgEzse=eEPs0ZuApeE+=)f$ zb6ya`@I5OHcU9F%&LFoP3;IkVKI~t+0JpR0!};7a8^zK4NhY?_+S7RV`1%l}@hU^} z)D`~na~zbPn<53Le`O?4LVPAe#EblF&=ddV#^%$1AUopkPq(0evpFuIRW)^GZEf!N zDU$%}?<(J$rd*|-ccqSh(KY!>-`wtqayze7ijn&d;z%jCP z`x;hsvUs|YvCzHDWM(#>#xlk6w{m8E7tbknLabnrN$m8oA(3U_6K#D zMofq@KxS>_)IcZH_fSBa-I%r(ea@~)=F8wn2S86Fbxi>O-ia*&wL6;-chZxyJ zE8)|RAaw`d#$I0nr^g9Q;vfE-#H9X7%r6Z`R;H%EIu$8KPBOm$hwd(b&LD6GuPFaZ!5c>L zi90(>?xnfyMspVjLi$9t3v+)rHUbxSw-3`@1 zDb158LW%phs~ykg&+NMBly#OxJn*}=866uv{bSxY2$E3E%;hC%jWK*Z6b5FQ@~F>`gRi|=`u9A-i&@M4FD#)fHq@i$VT^a3im? zLh)}RcPN7$ELU*=4E_Q^fmVs?U%&yiWqelG9{*u^6iW>y!4)zgpEGY_9i}5-4vo-) z_aM$0H7_k`cSGV2&mHrRWM&%iP}J1Pbk?s;Xg~ewSk2j)Ym?L-HK33=)dATF*AT!* z^^21=5PcX#Vt~;|{mC~W?MhjHGp@9G1(PB;@nczdTapyj1RAt4K3QA7+S<~ZcXuP# zUxRTk=d3R%&JaV@)zqV-$m{^*j!wG?%g`9`!f-(`+V5L?eGT)otw9wx_%3}*k&3PT zxn$BLGMrdf*3{G#13ud1@~^42=f7M<$AdWHy}ezROB*!k8 zQN9sxwrY2jHW7ZSflOFi8o$N&MPpP(rmf~$XT$4bQ=0i=nm2d9Bv()LOcy!{{C1c6 z=ht5P3eQm3@>fsiLi5k<8&cN}lGX8;)#vn4?#XS2bime*fzU1pU`!R+B>e1rx7Z@y z>&=jmC$IMJzJ(-@?OOj^8v#A;`sUo+F9-RU!Qq25?{|J90x{egr0>2Yp;V+Tw;m=h zx{M8P)wq(kRiPL{_3%bOj|(${(L;rmJsGE9$XSB>J`y!{x`}-b48vWf3;D z#@Ry24dM5!2Y{VO0{bOP)S}amBi#Y0InAU2qp2`3h%Wu3&4tI^?r3YG-6N-xQNzr6 zw#{=mfxGlRU@Jkh{e_S*L`YHklzL1?tom~;_=)nO^FhFZQRnB-?wCxek&n3V8@SuaB*5)?SfP^&4E&Y>F_^5yGEd1ao%hR28<>?-E&Aq5>GkO2vMDWte@xj z@@hR?cImm#BX|YQ%&<)AcBXY^`Mf`TRR4Z%rlHUhrXnUP_Mn%WlC8Jy?`M+-NyqZY zNDf9u7r>Lt6xV$;3{uVkD-c$5sxg8{mUxijGMeL_puLdT^9%rs2{=`(YSzYdA|#b##N)QWGB1%gP&fH@KCb$V;!A{GuQ zAw?LoaO49%*}j@PN@Rf!zf2-?Xl#LtN*YO?nGOoc!Y7ZT{Zu$tglII6PCLR#=ugRO zYkElG1l)=giy|nC3S)B8=B=0Bzpkyh`{&m!Ek#H4DWY;iPRpnz0`<$JG!?Y z&EM7r0OY4jZP`GMN#pDYT3Odk7c32Wa{k6Ld4%w_5;8=<4Z+A*=4V~lsaol+wec+Y z1EvQ^4a;g>q$wXQ`Ddctt|u%CkHaDwKKr^@xVSgXnW-Qm?~9Kl<_Z4SyL2wA;tJ>1 zeOOyUUo)q7phzwUa8w{WT=l1v0>Eg{Pu|PUWo)1tnF0=BkqIU#HhE~iU`CJ>s1CNl z^?VkWRVy#PCYsc24!uMq!8{3=TV?B?H$7ld__5lOt79`iAOw8&hqnH=I*T(! z*&6M?{~ewTJP#t#^=Ff&j-UUdxeTIyGD!FC)*F_LR6Fl3h{uj=s%sP&f0!IQxS<-N z3chbS0{GyxFRRa!)Tb+r;rib9ptF}bZ41ZoX;$>!qWe2et=A)Gnk>8JKfZfug^&Qn zn$zukFKFO^xjGLbpb6J)oiwUW-{%WXF0_Z(wKOfGSz9 zt348cpCRUKBC^PLRpo(ExVdU?(G_q<-xSE=Xvo%n0*8HX_&qm@*!#P!ys3@%1T;3^ z+jDquj$+ZC?0vgB-=}2{e&Plvgajfx(8w`H#`AQ26o{PAu z!41%$PXHXxQVQ=6huE8jOJCgL?q1lGZ;aLKO27lc#?U%4D43q ztKX;jm&-KOfS!^lx`7Jb-PQyPx)GT^6?bidVB___JTT_6hJF3hq40G))Cj@(<;(TlDM zG+IXNe}fi&8z>Jvsd0D@YN>#Vif z$vD?Rca9V@r9R5mbTo6{o4kpsQ{h)x4NbHY5@U%Vp9}N>Dp-4w?FO0Sf#*Ik^MjQ8Ef_Rmx zJo>xR3LVV3n}1UWu!4`I6)$ihOoa+lYmSoGnVh!KYD#1l5cJ6x;jJvDs=CilekLa~iVo3F=XdNWl{eYOHJGBQd;C{CT) zt$GZxbeVxQuG>mP0Z~VupIX;}fAA*K`+x9~+=M7)8SsTVD>X(YfGA9+kX`RyXc*}~ zNq;tr+LQN7qF_raMrpYg$V}@62pN>5O!6+(u%s{y^MI3*&ujlDLgxR(U`7Z;qKjxH zcZ*DHAxwXpn8Iq%5kmjEK!+n$ROC^!D3p#itr6xtt>Sch&T^bTTHyoT-g%i%aw#KY zBp4Rka~wXYY{wp`;eNQ;nvWC||HmEs55KbhKsuUt*o9=R`h%qjK_$>ZVBe8AfS7uH zm_5}{?gdTo@x-;fVG2=Z{R3iaCHG&!(UyI)laX~s1Xx`{17VsiNzsp7#OIyi&Gr52 zZ^_c{cs94ws_iO963&8lR06RnFnn=T`;;-WMiK3jC_#u+^2MLr_>z7Z|u{GQqe!jI@~Bv&pRp8)gSsf zdb^dNiG)f^C~m%0HkvsCYReI<31Dt`wRlO7=KJ|)y5T&7pl=_A$A%pjt9aI(i&c(q z7a45_w4qqvX+5%4FrHp+Pay3!mtwyo**k#BneUzC2GrZ`PTuKE78m_RkwCN)k z44I@Rm031D2J0||M9`$*1uNU*+o|sX(ffPc0X6O2)sdnvf`u6JYa8O^&~E+B_vJd{ zLdMbWk8p112Y+Dw`q&r!vX!`gt{#64b@p&j1<&+P+&~aY7fiQU4GOUnf{vl zm93vsw5S9;15<0O$!Z^h0tS&q8e1;83U+o3?i*@+CE>)8!tHZ-4t6p|_Vns6qfuz@~+Xj=wysC)i2{ zR7b-UDv{Tb9seB-;iU6bhMnR9*BCC4sj49ia5()e0*54O1V?@^fE3=VfdDI7%K2B8 z39q2~EU#JV7(Qu=ksomDTuJ^-A51!wwpz4szA@S`#Te)7cROiu#kp92&S)L(LY#ja zYsF7N!#L5JwsIQHT0YTEY1Nj z@58!<&5oWDLP8cRTJZ-J4KF_$BW5tVlXFz2VjUW4Cd9Xj0**E9y>pn{adEv6+An#!Rs9Nkt z>q!g4eQPGmW1jj!CfI&EhY?rhm#I8gde7UGyCbkV^=Cuu{=Ypfj_>sJzp!v0o=|!= zm+(|~GD@UnWf6ybZwp1+C!2z-2+L}B(+Vvp6-(GSC~2Z0WqbvI9|1ofUVqOWcrPf( zhQ>xE^<>`{)XVy~$p+p~ApJcXt(#hFH~!$q^|_+^@xxlH`532j$ZXry0`S;ruvu=V z({>VQZf3XHXi8WiVrSmB01Q7x)7YA&u@qUAe@Q8b!)Px9-taWJ@8cLaxh)~&v6s^e zq_aLuq$N)Ttw$vUmoEf$i72Ay%q&0LeC#ZN!x9*mj!vC-Q4HEL0PJ$`+iWeR8?-Lp zQ<|2zbq2f&%eGkp*m3QlBh;e-NBNhol${+)cY&2h7crK&uriUQF;O4ebniCa$Pc*S z4F|kg7*eDx1=Q?y;dbwK$CmE!!bV6{OE^){M9}dUU7GY9B2w20uB#}k{eHI4dChUL zPjw+y8Pt&`%hH*YCGcTPaN+Dh#zfjF9$SH%${HFX<+VtFbCj)hVP|KSgBJN;%)GKz zJo(wjK2`tTZHLR{Mu0cSH`kqC5XGXVE}jB*1z7`s$7lrFQ6(!X$s>Mog-I-v0Pq^NRS z3C3hOQ%E%3!&$ZQME^eF+X49)WrIx~&oc>WdCC)X^R!rliU8d<+T)uapoMw8p8}gK zUlmJ08awP^EZUh?>(8`{o6XOSn(~^80msM3(I;Fq z*xpBQF%iESow!^p2tAh_iQMsTPZFX#;&S^&hItw5-{CbvAR!@$b$QS?{dZjnw(t~(uqcRo^ht3?G#XOe-7T2!V z1Zfz)?d>{O`y);WUhTfR&QdlsHgMgi#X;0PWV>7buri)bw}3!H2z_+e^cx);bKnLP zMbD00gsu7vX4?ODoevspU#!h6EL1g)$gSj7% zR4I%fIJo+c+IBiu`fA~kCDxBV#dHRGqd>o~`@pHBsw!(MA7hRhBUT881aG1WsW!T9 zU@B(CO&#lr#5d2cuiB3jU81y14i z9G&(vA+>jSLyR5kB3k1mxM$}R;E5O^1lADXg%NwBcHb@V5}t4z!iPAxHc6Z&7Q)m< zELs7?mk9Cm#LLt)^00_sb@F1LY^o{ZLGb8LHW`$p@}<0J1y4qt?;E~+E<>VK-Zu2L z7d}LtN?i}^5KzL&IqqZ#_PNFXbl(aUgmx1I0jGu-UdJ})k*TS^eCpf#u<}EC(Oje~xE*ALf!v+T>es}~X9+Syj%W6NQHsc>O z|IWd`FTnjTU$Bq`5P07=?p);Nv-;Fcx%2A&cG^uD;PZGN!}5+xyGG{OFf&y*VfiaJ zifX*$QWUZzRg-;Ge{`>SJhDCFVNI^~PK*<0i}Y+}UMxPU5!ZKD`Li+>wqwx2bX;j} zZWrHlMt>@nIf;4@iMbm|^G;CNzx4J5OKHA^f94+ov6T&e?-j=tZ*yJk1X&nUQlv*o0w+PQ;WpzDRKyBufrI7+VOYqnw)fh$p@HPR7=tjL(KLj1P$44_0$ zL(Xud)Qq&IBc+1;TX%A^MU|CNbhVtnYk|JuW{Nj%@y8EfvAmtyd|+)+NEBn|*YA)- zDB+$TeSi(_iggHkOlK$GCmiI-QrpfSCiswv{K_F0(>CSzy*^fLZl zQ<4G&BO|JRA0$XxL}hoOJW+MKol$>G@Z-WQ1J%vcWJfI-6vSC{gcpWSh{Y}2lRc6e+grzN~-y+1vo7tc2$!R*&nQ|K1TG2?xc)6Sv3O6J#s994(o>-?A5e*lB~OZ2 z*9M=qt((pZe}peZw>+qXGIUp%2jcT6iG#c3G+ zTxn#eh&?zYtgcVRGG*a&BSSMaMK>MX0T?sU>3dfLDy^k^5INU^9EZR%?53gKymM$( z^R;CouM|0JMZ@{tZjNkUTWH#E2#vd#nYnz{=)kpwTQGLCcN%I@@}{7SrJ$?y2ME{C zd_##-K9mCWq|$V6r{cb&k1{f?Mprw2Qwd2)eVzw7tXr-MT<;#h_1Ltj@3S5H|G=6; zw+9UX#?td0aU%Azq4yk;c|<+keSf)VJPioum)@6GmD!YLFrhyjM+--s>DrB7+bmaPV|DFP<>T8Suyn*{g*4eaw698&{Dey)T;(uuEGYE*4B^bkDB|e76@7Uf6XMi_xf{GMr;VP6{@}6OSGwNzz~gxGx1Q%(PAtkQd}b3)7_ZWlUZnE zrX4#uqm|z+>1026ZQcatsPx_n_P&?kjW2MQpML#eT$tsix5Z6aZxRtWDW)3s!89|x=%ur%Z(d7#l40TWTm#|* z(nOtYFV$Lh8`>m}H^<-|oZ&E1mPyoSH*4Bu5-u9X$sufG(GV*Wyr7&7H2pxdFykN? zku(hfV`KC`?P&E=VWf1$wZtsvlcNn*J%4lF-pfah3zL7hBqvV7c2m3sxZbK+i@a!-I z=piywZet4E9v1wvQB`nBmk|D&ocwnTtp-ojpK*s?soM?VGT>dd;+}9^8y^tIj`G{h zu&)Wh3<*6wsDMJt4_&ViZHKgyqh-ZlubKgqvQ;*2zv}mk!ee>Xdu{&~R%0z3AI*a(n zb%zV~*+&S6%wEh)gLpeei8;kQW5QW)}y^25(cX-MMxd095YJ&a~31N!>2h zP3x>{b}5Jb-uziDl*8yqsl&FXtOFMcxcE4*<{UlOblJ)d1kZ7SFguf-zEbi3Wn>@h zfHDUw4D{@!97$v5d2C-Er7djuZab#llWtFj!23AQxX^g?gv>ls(IH8J6$!Rro8PKn z9DrZJHB1jPZt54vq#TY!)ONcJ7leJ>ez^Wztw{EinMUO>QE~TrMv@u!ix;hGttVfY zO8}q>L6C=vDZrxF%eYqjb^e)?UU5%B`&y0BeYDX3H1Ux>^szA?JiDLYiRD+nlC5SZ zVT^JI>y7c;;i`Ca?H@^oTYE0h^Jmha({7&3fxM^&+#Kag4@)x)uw~8wZG${6R~JfT zY4Xg>`cEc#nNlKgQ)}|v$9@{NmbvMb8Q~h%%xv}xBe+0PIjBEOWf-(1M$kC zZol=)%2-%b6hg#ny`M00cyv7g--2d)%QP09Mf4mq?rtcoB0*1T7~WH8RGNvI5g~kD1%f(+xqB} z)EKS;unH(=o#mT2@Fu#o;MEv)`U}LPB)SY)1D~~4p4CyN5CoFEV+PYkMK9%0@0{yQ z<@R1i%ZUt2RHE_A>>}!oDoiZSDt}F+aTl5D*>Ps1_$_$#8RnXec~8|hnvhUZI=2u{ zlnOd=;2Jti=NU2PQ4WeA2SV4Ad`jk|8cYb zxVV%enPCf^tl0~dm@SRT;!)_@Y#r ze_J8FFgjKBKV-dSP+VQmEj+jr+?`;--Q7ZPcOBf_-JRg>5J+%$cL)#&?i$?P?|I&P z-#_2?<5W#eLDihSd-v{MYjw9z^hM?S7gFC#2)mbdbbZ*q+W2E-v>#FQvZc1}eHnLS zfKKwiQ2#CIz3_5t+WpVLIUZ@S1pr3)Ki+-xrQBsSH39gjTYxsdk^OJr7aTBnBh8#l z5v`7UbL}uFzQ1K=uM2*rHztgL{jW-F&;0jB7tQj9pq<`C)L;|pMc^U}vZzyGsf1!n zEd`?XEbZv0;-fKEr8IfOYt-Cd>~3;iYh9j#MT)O3w8q!YoE@qtm`RQ-K;8~yZ2O%J z%>A2sBR`H&LjFN2oH2vCNEsw%%2wm72ZqT9t6E5MGa(-v>xTrMrl3G;uQd}%&LHWl zWpK@Y2et=PZG{`*rspt4RKC~6hn9Q)m*_6(B}O4=Q$BLNWef1m+~lw&7at}y>A4!I z3uckO_-_b-Bp+gj{>gY|_t-k{Z|I-8sq<97aF?g;8?TPs;k`q0^lQc`(o>D?R$kyEeP;M&^Kym#L>tH2%u23awmRBla#T*ifln+)~Mxy%2j ze!$80`5`}(`(aN@$f$O%k9)(NYEjP@GvR$lJ8j*U@r5w!MQ|jutoK6@Lu^r;hX{w2 zCR9Y3Xiu>NzC12f9g}Ai;DYR5?yGpPqnI~54MHP`DQ^BxGMlf9lFaQqwJ!Y-6 zGJ1^w1Rz~D`)i>yU3nKmPQNk&MKPCt8?A$|%G3GXiewdlA~CVIq4vwq6?uhb7=~y} zs&~dfste2S3o&yoeqUu|5P%q8i7$}RwQ{q>31BrvT2|+Pz$h*jeyif&#_yhcVFl^( zRD&*lDOl;mgRCia7l(MiJoYy%1zLePZXE+LZcdWb7y542=_!7G>aWGGRn^rb>9PrG zC}xxc2iBPE5ir9pYwGI5>e*nw`EQ2LI+eS1(v>7V6xgR{jf$u)=#v;{nyJ>Hb{>c^Gu} z+xvyn9o5A0ZLj&c*P{^0=C$TA;Yp%uMi{fNP|W_O6(!MeGz>)2g0z$zDLDNuyNhFq_oO2Ci9Hte?9-f4|H~++h9tP z0`E1@*_^JnFx$nCN_Ywq*rzK19_c6Jv$)!k=htB^7vlGSeAdg}OG6R0u=eT1G&FoF zj021q8YN90ihTBwT$?j^Tw3d`$UoPH{+~J|7%ckl@amcET6Xy@~wwYmUl9h`J*2NJa27 z){`>XFYM3+D!%Gig?5>oep6L{s+Hb3+)YVVEZj}C-_W{*z`$@Z5Q^3w`F&8`@vXdO zk+;^ctXs6W+rZ+(KR1s=lzB*LhdBr3h3<}Ia|4f0PF$rzzX?2A()aJ*L8XnhZCIAFw2dt->5WAbT}5qn z7mO!JVQH|mR!a!qLq){Ie<%5o^OKvp*p6Le)FhS8{O$~hrAjDCYspv>R&%7ZJGvt>~S>l)miG!rg{USR=9`6?ZEAECGcc)dT8f z!#e%)uwEn!g!?bhbffxW2;-a&j>+uW3K1NUd-c0Ykne00$UzIoKq!)T;zTrtXD!TvuNqh!DGEa=%@s8JtDl_GgBru zoJm~}h<2?L4#WaJc4f$E0U;N^Hgz_r_MWc`2rboNTEMVN=s;5`(bnMEb#Wj41l?FG^}gA%zmZ_+PJe1Nt@>1q+|sOTQXwSE-S6{T=H3uJ`v3%#-`l z>GSudm;vKH!5Aeq%NYNEn|Q8;pRe1$7b`hp-0a&X==fIrM!URV~cmU4yObYu)LGhq`G<3Y%ok zlF88#$#mn8CCTSBk*^$C-Bv1CVNpX3taYBvzx;aG?`a+frbr<`8;{RcYXbj9{+zJM z)JP|O=M0or&gqk{e-0aVwK1%v?R3R5FJf^Y=CIdg>9~!5PO$S{_`bt@#rpFv*A6%` zVa6nTxSODNuR`Ot$Cn-1Ue6N>ctU=?-P~B|xyW-)am2&iu%g@qy6@%Ei;M*(A|$*| zPE5m)nkz*qzyXuk!FTrtmFv5IZkN;SA#B`bt;jAqa$fC3$eFB?3ZnhO!QI!IDW_bqF)X8y>5pB!97Pb?E!D~he=xMeU~Xr@phN1~Q| z^RG>xx1te!JD;I?IC_DW9u|5w#qBg%+8STV3SiUZATeglEJo4sobO)3)~OZ;{m4S=)?ZV4Qt|Cf$_s zIe$}f%qd@~`B@iXROC!SISy6oz3?Oni%EmK@DO26m;6-%yqBz_S7KKJMhN{(_QMeB zAIb-b2B|l)#;RB2a(3<0?-MPa9j4innGy%oB~!JGa<0r0j`$fg5;++hL{pA_Q#Q#m zyRkn)hQ`A!LKZ8_Rdip1GsaB6b)QG6tOhTe2^8O?Sc66ys;subNriTUBWR?>GhFKz z-wArh1_V7AMxrn`jm~V!E}vKN(SQ-Omo__IR^%!?tqoZYe8@L zyj;~NZViqlYjs|Fo6JE&lAE6jUr~{GpDO&W(T_G(vy zAwGJEf?V5sgT=tAl+=2{D1o2Ru&~30$0q8rh~noCHS<2@3aQiM<1?9>wvxf8(4f>4 zX5sX*1($WRjd+!PU7*15x+K;pN(~*HT`Opo;NBLy|{AEUzh z>YDMDejSN@&qA*G1_fyv4s}jZE_1#eKlo5nvLBEv;&obF&k>Ik5LQLbXEP&pMvue= zbV>2#IvHQbr#SE=mTlPbpqmES`KAx6a4{ki$V+<0{#)0v!XG_Yeuh>%g=nxccf6l*wCOd4xiJ%CH%Xxa%-!( z)NFZd_^M1;hV^I0+U83F)fMZ}5!l-1Z-ai~QlY?gzQhMLX$V|H1}8hxs>c$rZ0nEb z9yiQc&5Gr7yC3%Wkz9lcK8E(9EdxF(a?&RSizmhY8`}fFSWF&SrZ2!FvEglF!7g`q z5+G_ccsgJeMyD@^!tPHaX)f23&+zey>Klp-UDP5&jD~K+M)?xQaNckIY$-MAg4t|cAp*^JE>+MBeGtlW8gR%tQv6^sQZd^KL}Y(i z7)1Iv!$Jm$d{sAL0v*&oX$f4DyxttcXCr!{!k0?QzVM|X{cn|qvvYCdK)!ZvIQ|{2@ZRBK%BU#2MPdTi z?%BXCC*+Ma(jx@n@f-eunU0qPiz?s%i55xakLTfIHR_n7Vlq2K^%akWQ|D8O87Yw`9y<>N> zP%{#GY@NgN#F(Y4<9L=+p`@)WYLAAk^qDN$F;PX$@Skds{ssZhSRJRKzybK64 zA=%gBcZlc7iN*Gwk5i)?H)@lu^jX1B$wP4v1BTL>UKRh23=`j2Vo&-niZ}vmo0rur zrAU@tPWNwMik1PjxTTnXeip7D;OJy8Yo7EA9$1@-i=`jM2|s1SU)u&p%I{f^u1}E` zgg75^*VK-`qHL9zF4yY`)Ys$Z`&&z^VkXqH>FYFB&_)dB+ml4nG`ns&iU-(jT`cVF zY}+7-JjjzZu0mI?FP}YliK1;edzDRs~e ze?j#Pd%ihvn#`%_WkYs9Uyg~(7V2l5Nc-|5v01AMkJVO7;>?XFcj>jJDuWXY8~%wD zRyP5Ksr%!(XRQsBE1Ou>UJE)1xAyF2y3XgqK#$MnheiGwzlX8;B=YZHnL)3e0?saI z@S)#p87_X(WfLtzwdy63gai*{zJqbUZaE>{ZA=g1E%wUY&>91=9uSK}uXc5RqCKUI zQ-9?T{nvnX8kn*rd*&n5NX*NNj{gO7s~lSfDr!8ck*2i!d#Lk=+B^|Wuo=byO=Q>o z$JC7bA2FOGB1rO}K}itFclGc#^%j$X7G|jL))0!7x$?l_@fgWZx!a%OpsLIjXVGIAi%!Lm*Ik7tti2jc8K>|%(kZsa z!8p=j=$DQ3sb?elnJb`a>+ouq$NRN)vALqA6JsDkT@+1%h{qHD=D;9kCkP6nHyIdk z=0(Kk42^U!JrGz#0qRxP)QfL&=niW*IA*Q1E?;Dw&a04?mZlkwCBRnBwiD!n4-V`L zfg=~Mkq&3@;~a5%d>-W1s<$kZF3A&eLu|Hvo0A3QahV}XB@oHjBlb%oF@rc}xH-t9 zKO-76`8}0HQ=^-Uer=%LrU|%11O@bIPW@>2s5^d2J^nPl5~w8wf#rebDDyU$sOR(2s zeYHx19#qiSi1jJ+T&_K9OXkMX$SyDhz86}I!g$B6=t4s{^poNnR4}bkf|t!V*Z48h z;F*lIhJ2|W+@P9O%}_*+C;EIMRQj-=V>D_?W~5niKe{akMqdQ9RxzyUoePB!LNiSp zojBd`i>EG|yOeq=K2tyFV=RJD%c`aSQvSkQ&2Pkq??gs8FevRUh7tAwP+ za0Y4>n~o~>?NsNxyUPPGO%4oI`ZSVqbsZ*0{@df-xT#yTKo?iM?~GMz(_;mfw-3=y zMNtELeQynlKGS@cF)b^9<|_oOIE~kM0MjpgGyr6%Jn`aJy(VD~?d0&m(s;0-aAPGM zm0)0^eT|%rl6A&O`;#@RI zblqnE<4jdtXb7SM;+-F0*FAF}Y|SFuso7J6&<}i=Wmb3HiJg4t%iv3Yk2oM1(r>(@_a9^q~dHM z5R0n3wgYWBvgJK|k);<{X7CKUKGoEwP8D}TYJ{ZXZ2x)T0nCk```p#s$N@6>5Yni? z)~IlG&=qdF-@Ne4cawe@zj&jGt3dGEK2^HWaV2uLW0(ncHH`MdEE zMANbYe>JEg0{NXo=Zw znGSDJ=N4P~woU*1nOj;^;zzs&*NTYu?PpDz|CAqzB&J_Z{kkeMf(DNuYd1x#~ zwaoas>EXS?#NqepZ~~g-aaJy?E+)Itlk4Gjt}@p7Q`NDEk_tm|A*tleKgZzL^D3=J zyyWRG)sDV$vA@Us)ZNHF(`O4UN6s$8IUde7pph5Zq@z5-w>oX3(5?PpuVQ*VoW~J( zc{54blfl%d2<-7&23IP4&d%*c^wx@rHGIGi|S)fPI+QUu+-{EDmZSahYCoj|Vg(O?#(*8J@)`yw2v= zWg}pl4^PLT4~|7$|pf(>l&qquZw!7XOV9tIPx)Lng-pSOS!4V>5~o|RO_a^)jt zV`Ed|G==Y8tHabZ)Q&klwFS;s3gLKPJp*tjhA}r^^!^v{aMQrOd?JxB*Pw<~*AT&y zw0&uyTE_feEx;+etDA>$pWC7+mgKb88u}vOYNKb9OysgyAV4hpNitd=+YzIaV|}CB zlpqj|LSAT7DNIwkAuI?u-#k9mB&n&`qkm3}?dt=L@l4H41Akck_pcofXOe(2`O7#; z?Xqp{s*kds!1px(5+XlSPJZTCc>EFlPlj)ODJj$~lty#WX#cIkh!#MkTJrno9o}Fd zb91(LvCf#wEwz4mp@Xg?Thvp{NO~x7@lv66h#8BFS$0F_ymfBElbj7pm;77pl)XMrJkm0GtX5Bh`&u+N%DX_#tRBO#{gigeHwQ z3-dEh1;t1VLo_t=GbtW;+|W-y#~OsGN+lGIcE&$a&JsCx2QvVT0g;28BXl-ct>3lO zRfgN)ez!A<4yVV2?(t6MS3#F+lPs~dqepz7>r(!{HnTh8bo=O3V+R~5oT+>`Q0vb1 zM6KTelkep=H4xYYK}E9^l*2P*$1Jnk)Y?oN*gh?40N;;CBQj+0YqsY--#p&mT7i0n z4Fd*F9yhz5sQ32v3Mwj)c$b&+&Pu7MTKzW!PS<-#BN9lUCfHa~Qn!aCkp$@qausM4 z@&#koeIEM9oM>rjdz)OUgR7a(Zc}8bgN$95x?O16eZ0S?riRH<%aH*~Ao7)7D-8%? z^%hg?e-^1`K}YpFZ{vc5o^PR2($#jZ3_sQz5(--}T7-P#b`G$M>+y9@?H2pcvu8vK z)P{9biRk^fl%l~NSPW=9!Oev~XOcITwoR1`H(?753*5#iLacNol!H)$MYhGH-AB1_ zv6n>t78!C>Eix`xNOk}Gz5Au|%ZBF0;;w@FnNJVzt|y@ugSlKLk09ekLP-7`SmzGe z)P`2NcvwtpK{V$Ruw|XlTLA&ZakpQ8&2T%|vJG42ZAQK?8$KZ%qdkXqE00mRm%{*M9;<&dU>-$}D+o5Rf42I7R4b~?pcF4bqox0&mXN~fW zO{#(42hW**BLlYHhIIFZZ;m(kDFZKC(@y_Xb<)rls7djywW7Q~U4;T=u-b)}x!0^S z?euDP@i-O$Sj5uRe!9C>Rp;~|Qdk}ME|7<0w|Ujc_1;RUfW_Ye+gwh*Yb+v&mqW+r zRdXue#${Vi_fq>|XYHLXoL6(fWGb~Uj#In_m=UH|{g|gvHgKBBnIYIPe9=`X4!aBI zv59@VB#ZkZZ9oXjNOgRF4a^^#m>B4^sX_2wi`pFl?>t^bU#z!UqPGEvhN_BcduIIAu>~HacdjxTf9)BXFKf5kZ~|%_Kn@v{6ryeJh1%GRx9un2ro7)xP+A zhaB5EiMR@HVEI!~UzZSukOM|5@cXx0eSdwT=~RL@F<)fzA>UY^kI(GPDo{M`|1EuU z&Pp`pVr~dLx*fmwIm{WKLG1 zHhFBUjTq079bm4F80?5nD4TME@L$&Li7+kUirk}io=EQ5~z1 zXP2SHh{!gfXF-%=C+z2Aybm9J)cxxhl4cldaTlho7ojaJ(%K}FoKxS!UB97yUp z%TS+pwV*KzIP?a+sh10LV_Z}bhNdPU4+cNA(b9hJ?q)|0A;jGP{VnbZIhxrt9_uF* zxU<}q)U@EX72JLUaB3(}+Vs@m8E2-!OlKkORH>7XJoR~Astj^88V;7EKTsA{^4Vs5 z;H5mxxsO4O*eIy%`95a439%&48j;sKEMLM9!zQLpQB4P0WRPVUk7cam8-`abn#+cfH4y**8YvwGhT!v?^={jK^{Gf^v0;DmWEp1PVCxt2||o6~(0 zsD$@uNCN1C=0FNwjSBc3G=3+?!HPI9@)*)zm}uqgrA3X9IG1y=GAQtBW8fLxkNk7L zh~}MR{c2KfzgSEB7#4D({=pe&cA#R@qcg(BH^mZ}5GC5k4FLNGx)>(ui7Sq@{FU?P zhK(C9p^x7)5p0)O;8n{^$@yP)RM@qM9WTr>TOZgc{$c!gd-8rAQkw~$Zn}olCf<(d zl-(&nIkJQZMl*aV3}fgSmQhZUfOZF4*#{Pu%vRtyux;sXE_7{4#DPvoz?@t(XY`M` z)41`N*tpw{IclVWZO;n4Dw$O7HBlQRQ?jq>A%Blf116pPSMMrG+S+(aTo6K`#h|y; zMm}R&ik*JRe??70RkaI66Qwj|6D+ZVjV4K5HC794afI$;5dw?#uu$S!QFL9z%2+pF zJAD=k2XKRXR^`b+^p24p*RH1Hx0sdtu?hdhM1o#ri{VE6!=>doarS9X>jwj&4%l=< zsqs2A;5D~*Ld%Vtfn~p{&d>Q$ zeJ0hJjj)lK_sYi9V=F=#gl}n=yaNf6in^i%GYd z*sH1NVHSzeuF7F|aKN`+^YBe${o|o_`?b#Vf+yzulp)fb$@VTu-o6A6 zYAUo-b0dtqoco}j>0y1mUf1k+7!Kq9J_RJ@fRVxnk7NC|ru1&w@zD#Su}WnoC?bQW zDRTdn0@)rBYe>**Q_fpW#n_NGHyc$CW?aOeRnd+FmpIomeNmDnyW+;`hO9N1?zg`P zQz#3eO}br$7OO9K^vuxbMGDCN5R+4U4UvCH+(>{WICg$cI-aqW>Q#kqZf5Ei`urLD z2*=7Q))}ZVd57=vv`pHTfci0jCp9^O78wu~5)kFSy3;foDzfFdHNI&ftvt?Sjob`2WCW|LcZxQ>erMe*$kE_06i(vWP!0Z#u zWH(hFbhO&P-BzOrlhBkd(kT*alrQfqkdmO2JHPwE!nV?(lP&-?G_{c3kIPX|SSC(_ zH-aR$w|qzJjSGfPj&6vS`9uwYYxYAbS!TAoSlt5XPiQ2TA~!Zd4R$glSpOL8 zv)%QoZoFe1>_IDf?Mx^QaLOO?v#q}mLW}Ku)w?t{5Bz%+SUqK5xv>$`&6ao2@owQRHO9`w(sNyoTJhg?bT!{Vh`*xvVQhiwh( z8~zy%92kX>#lHq-1tv(L+V|uq#llZ)YY01&GSg3msa79SU9G-<_Di@i0~ZK-fj9NX+jaaH5zk@0;|y=b z1Dww#v($8)A{lhV0VP8pgwbDRi=~5)!;HU_Acu902nWUd`_(c4sp@~afz%UDnE&v^ z-fXkNzrMLPSx_vS&KZhIrkPn-fTbBJBoihDOD7C3u@SOur8Ua`r4FloG zfkwV1nFO7gYXQ8Dcp$BIfpGAGM~6<(!WdGmPBsWc8 zz070<5EnQ1<9f$fU=7&`jy07ohB`t`;qfzMV6v)@po(c#7I?TLKSf25hD~KT7m_a( zh{qf+lW)i$kkp`-c)8v_~2#OK7XeG;JSLPMziW%TopY6Rdze2N+-#6Y{W)l(X4Hhg? z6}81Y$l~h@x+4Se*>O|c56tWz)#o?NM$K9*cH^eMb6ANXr;AIQ<{dCTqrst)NLX0l z{?n5oVSx_DfV|KrPb-0@9(9r)XvY_7g$7tpEL>F48u2XD3I_Uz7vzb{a)t^Jvl5zT zyMqs}Ya?D4{EFSiDum~J0`liS&CUCsZ+ZEPNNM{s>&Xxi#R1U?W}bTo^b%S_TR3%% z9B5$Ge(9RxjHR-`A4ia&$t+=-=6@9Y^z?%Y#(D`NIJJ_uu_v+-7xdoG7iHbmHM&}; zP0S+@qt~0v%Xtk!I6Q-#D%$BfbOk;>JnB0&gxTxo2c&Gz?`c+c7k%7i%+Qnnww2HV z5un9h;h#VMgGO!Xeh~CscPAqCI}o2sneI)GTdiB|l+H4xs~%qE?v9$C3J4E)xirY| zVes_3()ok+R7`Jw`;(m-WyZ^zI`ZUL#e+>?Y0qC@|KmlXoE)5vY=?Bv9bQ}yU-{#<>0+sPyPVWE9B+{q^FHp_z_5Vj=&zST9tt>)P z!&*`BSOSsFg9~YA;f{j84zrcAVrhz@(VCil#hgejO~T`^|AoiFSkxgMF<#$ikna@J zo?41s;1>LO97of;$cNsn2w0?j3EWBzdcf;^bz#ZQCWpr&$oie z!`QfC-w=Tls7ib0#=?NZ{zEP&&-cERY?P0eOjR-`HO+NK#|Bg;CJgb_61bpN)X0EH z!t&-5B4lkDEX$`Q?!cJn~9)Q^%Ck1fy-`MguA_viY5cib#x z_lRmKvPIIeHuOSEkK}2aPW-4OLa}d##L%D|As<-4>-Cj3nD6+CL*1WlCfwhnnp&6{ zYpDY%ZT7d=P)B7kq-BuzSLwk>k)N?mKX_0Zdul|GKun3!vN14?3KCt*r%}DBNR2t8 z;1D-T5E*|B9Dua1uY1pBGy1)J!7ZO2cc$Qv2^r`s=%A_ng<&b2g#)H}{}pOR2QPNL zBFiQX#a=CU*eXX#+JFC6!*Kp9%E*ni%W*&Rt@$J+^vf`8Ydv^DDtb!u@g39GOw=bC zrHvMT*Hoy7o+EuHnFTUKCU*#9*5s4HzJKA#^Z3KvV zz?ks9rIm!r6Tg;_5Nr6T#g{Ig7&id@1u+`-|R-I!0nD)jPE|b^9OrS z`rp^&gU@T*MrX~END?5nrQTt)Kxs&}#`2-rreRP`3Z5Opgu@bToqpDq#E!S^IqL@rz8U_0KtDB+NIP%E4ZUS;#=7vt_gb zrkqIJuZ^~iiDfkk8d}nMWeau!O3CruIFSmdf6yRK54X`$4P+AGjb&Y+kekM|YdF7? z5ss(j5Gbhv6ZN(={>k9;78KUevbp{ zB^=q5NN+&0>#}>?o%$F`UKEIj8TjWzd?0oK6C2=!u|T376Oi4QbL*Lcpb3S@b#+1? zzc4RDN7kgD0TfofdXz3+`=xHgIIsE|VkSXVfy=C3#Sqy0Y?;0b(j0cX#Pmodf1diR ziekiRl;gOr8(A9ZXKVvgi79x zW%k$e{$&VHxqs(qHTo*KiIHdaa4_IE{h<8c=M&?f@+;fJ4aO@dP~4*lxXR5O4sE2>f6$eOfTiaxL^qc@f(8 zp_{909uMj0I%F81tXM`;kyo<2TI^xrzM0iPH8`zUV`vtP?2k!Gb6kq3+nH|%{1ME1IrI5O+tB6r(?TUH&r z)W?juJN9mEiaEg;KU)?(!{WP4d}?ys%u)L%KH$zqqltF@kHdY-{hlnkeRP4nD}fm? z7V<*F_K@ech3n8%SfS~KVcXy8l%dWpe$`c7%@kP_83Y9vdgl*N?Gnm^X;t~&kb2Of zpb=i|hv}&#Y7hR7cBLfruGF)zFc?~HyvzG`H#{~=mf={EeHl^!%!BWgeUDOKoHZ(D=0{WM>qMuiPiB57jQMX7J#oIQL_GF zf_Dj21Z|?!?ino#Qt$^B?Z;o56|1Xd?8Qx>GbYW|)YZ3tXR>Dr+c!K6@6~G!CvwHq z0ctRJh@cJ2ldeDrzb0SZQ^veAcH}q7NgHJLV3OB?zuA{=s|W%tchZk1ngIjP$%M70 zb0)JV$`Z1n3R+?*biFpEn}z0vPkYVEL;g~Ci_(!bXE3L)pOFbw>Ct|n8#E%uvvz;% zszWVdRV1Q}jAUT>BOHO{-i1a=uAbosdn5*MOF>eq-mV^BoZmZ<2)SIhixYet&xsXZ7ulQaCAo*3#6Yb&obovl7A%i>-8NH7=h@nMa2aT{GwHQ2cb58-^iS{w<=RfiuO;QB=a?Nnoigo(#+{ zxx1+jSvKhd5}3LtLtSNM``)#R8ftDd;J`)lN=mkQO2PREW6m-?t$un#=H{1rk0Ma5rZpmm z6%=Z{K%?RX&^`jeRasfL8a9}qg2FN6jWN+O53lAdi_U;y6HQxovdj4I4xbN z)yab^{3yyVQHK&<&lXD}_=WL#Tdo30*t-d4+P?>n%4>EGkh41EyKOtT<)Tw%O-xTD zQwwKhVNsfkcjaeiL#3HHRlf@im!Dn$$h`4~CLF8tBg@_$@g{DX@t=*E`0?mo<<4NS zs&VHK2!JD^j&m4Uz37S{$wmPPo;`C4GXR5V4bvzaZc9VPQ0|De~xS*Qnh7g}2QSqBiBQBV$TG@S*Uc>}Li&7|hw z9uG+Sn8Ig%?k@F$K35U`B!h_NX-xu+VZNb8sS4{z08l>$c%CmVJ>20Iz~BJt`9;yf zhL|t~TmduQX{6C9XA1F)>lg;E>`4`z(9cy73apUfsKf*s(El+=c988Svfr5dHc{V+ z-P4J0!u2EOBMcxxYtI`Goz|cZZJ{fB0jEho`{%>p<1}gG0*C;KeW2(qgo7h~2u4mA zR1H}i2;abuhK{lhGcZj1LEc8-jZdrM?sZZ_CnOoyxlSGXN`lMI|i@4O9}y_17dYPFw98BTk%ML52r-kqU>A1Um3rJ z+pl@#!w3pO-g+a-rtKNe^y|rGr5r`w+F3eoSJZgd`HORN*&a%Ldf-~H6-vnarI6yU z9q5D&cAO@j_**1AbsyC`y>1ZOZ%dG1XE`z~eoQUzfB zP1CV5`DMgsWLH{R*Z+FXOUzE*9YfrCivKR5T#cLzm#(T?1(yB<*C8YV%|ef0Lh(%b z*{j=7iBL&xZ;^BibqL=7$Uhl|FPN{{`uMqh+v`ga_*&+l;o8ru+(6)7v;b~F!mi86BK0tWAqE-K}8LOOm%BDH0W2AOMPK**5|?k{5;#+`&u zhQ-fr?~B)L;j#zB2Wig^N_|=k0gS2tSe&^qBKcSZ`8PqN;qO=84dEw<4W4)EAA~=Q zHHPHImJx{zcrG<#nMo3CepL@+`s7h`k(fOPyC&W4$i4S;NVXbb?irUX&8s-5b~FF{ zo9Wq4%s*dVN7cqd6t_EsR(z0u%cm*lCx<1`ma$4l?&cSp#3;1`PTKMbds(2tXET{_ z31|!CKev=nObDcsb*}T##Mb!eBH~_8iBK-qGPO`0o%+FIjTMvaFf|}sF>$q^tB?}M zn-DS)zq{86Yn$(ZJo207a*~_o?+?SNCK-y$kPlmR#&^hGfGxh7t+43?W^rxoczw)f za{hPm&ZH^Up1*wpfu5ecqAz-0HeT`&6g&ne03vrgDGIaB??9om^IyJJ&k9G; z3ZwS+cF)0;;Q!SE{Q3Jgpo>F(_t|UF_;_!O$L$$e=-pLwZo zW{v@8j%4YZHw`Es@UJof~s%ANYUaM;ez&IZ=)CezzsP22{HkPYSqD((cwLJDxa zi3&9PVgEzU4Y}j)NyzuVWKo4*y_Vn3LeMp<%D9Lg_7qxQL$X5MM@<9zX3Yq1F>4og3}9tE1^ z+G5oYyHMH{Bdpo0VYAaB^ir0w#S4TVB{5onbzUuk;mrb#|()_Jh0xd z!VQlU{W16NAw``x+46gUJoz8b^m?5>33!nNL5*1KPv!D-OX1bzxoC7&*Wx9T9M~-b zwsl5x<%x8QpP*bU8}A<#Gjjeffvgrc)b^Gv=u%xdltUjPEP)^1x1T;W3_SO?*+OpL zdLPc*`B8~^gKf1ZH~$|^>_75@7Eu;=v!K+=)v*;>~h$KVXT+aXlhmaMGP=wdIP^Ek5%99(7Uenn7i17QH z7`A*&q}!}%_}HheWJPv6F1P;M$UZ2R#!irfzb|x7jN)=#yjo>4lJj-gr{oHo+M@=K zfp|!i&!fkdcZ(I&`i%gG@NL93KuEb<=8oYm`@_BEk(sFQ&w4QtTh~J>PNTt=vT?zT z<@VJxf0(C}?vDo-v4Y+HWVOXpRiH#=tli$zA~6gSu|?#0tPSN6P#x`()@yp9ja^IJ#=JiKsZ&t3yY`nf$ZbsQ{+Fc{uve5K4 zHO8UI^PiG3S7ChR0UvA_Hf&(fa<(Puk4ODsD)!%`DuAtJm9o3*ph6Dt2L)LrNZGo5I6>~!rBTPVQtu?cB*zfnCO$Hx0RwDVDYTc7&%iJqd zh)%&6j6u7X$0#!VnAF-LUWWAHlge-8_Rb@?aEj`1_wedeC(pYyyAb~RDuAJ%9j^45 z-Fk&AiK+E)+lzo>@%@e>VF;+9(81+mQ8UEthqu|cbEHl3G-qPUYW*$00E}a zQ$04z#9+gJR23jjBPUtte z*TB>^(O#TlP5kOE(&;_Heglo$T6aiv8CCrv4{tRCiUDh3y6H{30Uvy@q@?GU#}Vgw z$XM7*0wd}J$-|mPR`!Rut`H1{&HZ4DqL};0zH^&AJ^c`%43Njs78iMcpX5c29;C|v zWEpX&9S(Nx9g9U;*SR?&Ux|Z-qVTi&Y+^p5u(Jb#Pd2qeVFnJsM~8&0O}2=h%DrPq zG*=P10fP$-`8)rMmiIjEw0L@NvbVbQ6MGu1Kxx%IiGq3?Uh;=N(QGC}lnICW2zBEA zMOKx$kcL9x4BW>Y>Z7=rlY;*##ncV$&HU$rAW>T6$)M)~+N{=+PV9At&0V=}MC*(+ z;)N-XGce9x7|N|1U}|Yw;?O)uF`d-B1%xmQ>svnd_sqT<$vF;r|^O6|6} zOm#Ek0J@WsLfsMv^9_P=#J(luzzgvIl1IG)M102ahdJGe(MGnc4rNOfa0OE&MC7x= zFNp2Iqsto1DniK*D#vZ#o zY^pF5g#P5;-4?1ZquJ#GqOw6*#a1QbKiks@d4p3>SS0?PkosK;)4W6quz$8fG<&Xy zG~SndIPdq42`c>$@Igsi{AN41{vXy{14*){6wBkfoynTb{)b^d+>jf%m(nEa(1bL(xNZ|QvZ6Ft zzW7Heks*O0PEqra#8S&UdE>0hx)|r&DL^H1-QMXmgC93TJmPxEDq~!RTbjW7V`NEk zW~5vOqN>U7y2;FxQT&!SU?VJ``YhN$al6MK*I@4a{}sal!cyp1+F|+W!`_=*&plzd zqM~4#pYKL)yMI~x;hnEs3a76Z^UgA48MKy*>;eTo-LESQDI81&sX8Lu8e9G!#h z52;&*=*%B}E2YjB<`S6Zi-66u*u{^#G?&que}Ai|fzk*ym0K7c-PLh@Clvd#4xZ7zHDd061qlfE=i0V_q!9-69y6H7Dr`1jCa zZTYvWtn_ravT~?W3sy%F>iYWnEmuClpAAhVBMKaGRTh^I9;72-?t-EJhpe{@imU6I zh6mT+?ry=|El6-DxVyVM!7Vt!B|va@cXxMphv4>}>#lmfKi||)Mb#9H?Xz}wuU@@r z#4FoEy31u5t(Ha{-;Gb2(z;>XTlW-QeZjg*ZqR(=PWBVd{1(Vm|EmRYzYm(;XJB5) zy^D3MB;Gx-#X$M6I<>()rJtqU_e&9JJevQ)yXf7KOXsL-&z;VhU2WO9T}5LQ$g}w)VYmGN$|yKpsw0 ziBBKRYuDTJFxPYb?|dikG`Wq?)dX9r6;Au{A|*6>!v$^gVxp*IL&wG*MKsa6e|?qX z|8Bb1VoW>Uuw~aQ3dRPmrk5K3zI01J7aSBDWc=$NvFK|aU0(+$vQnLgz|UkflEAO3 z79zC6{$C{_5`YIeyx+%YG*#jQg2&#bH-Z#(n3SayTnfF`citf3?@qO>e2VH$%8lYF zQX-&f^p6^EZyoNYg=jcuy6=+3EC4;+&y=m#$f7eje(j2D852C`c7Xov^_jFQA2XV2 z|Hnv&EC3fv_&6CIxX41NKc5I14ehV@BFMx<0G{8u$e zM=i%D%n%sMeq%fw`I0*|h^<@1N9@##w&nB{$ zqPmR5-#t@PJ2Fe$cZ8y2nm<~drasW^7~=2ILqe(8uyiWy@{$W%z=#(+q7IrWy8jz4 z*=`ljz6hXD#)u5H z8T{5G1EIsRNH~XskPVf2DF~~xgBocT= zb-pUcXcMMEBIxc2-8Kl4E>)J@jKT^O>rG1KO@OV;JbgKCE}1f%OY=7zS(fXzLyu8!hEPB7 zLxG;|Du-$up6;Kzc>Kvah`+qd<0i7S_orb8TL4yLjSK_-v@}!+RyRkB9|Xbv97`Z7 zz;!A^46$pxyU6HX1whi7qj}nsxE)#*@G6%NgE;91-a&`n9ErF#Yxr0FI^c=M5^*AbANoo1k_FTG+M)5M?m*Kfw|iE@Owz zgHfRv$&W|=6kxxg)_Ay_;NE=U@Z&!idKwEnnmKbah%;q!W54WAP>KS8RiH;vAYk7b zasM1DgRTyxzk?J-l$|~%heS6z)fNWE!4rz8EN^EFVBa`={&PqAY-n=V75c`_XNt@m z3INT4NO)Sj+!~hqS$-$}>)lj08^OuELhTJPe@Dg`&jl~~oGdtXNt;N3kwTySW;EHa zrXfs`07(&=nS+tHm zN-@QZrsN-bfMpi!u#0(Q4e6q?K;J&ZF;Yv;fX(1^UV+ipjp`P1fCk5=qH>88BE$=} zg4n4HWHEy9xsCpfTJPb~%{X{L3-@Wf6q-zfv8O?iDe;$jh1m919?Q9&qjqMrFb$u=t~*K_ajpPNGP zrCG|V)m12Fb!+iin7;aw2(=~VTVp7JZK)L?5a6HhU0)BN-Lv{{SJ&vYfl}|fi%zZf zeyqhzW37Y+<_7<*Hs-p~+W9`=*=~tr{+xBjg8zp2zPoFErt{R7ldhzH)w?Z%*ll9q zpXF{AE|QG#@Q_?+U!Be&9!U3)svyQV6k(t?zWEBjYV~0Ljpo)4Oijv1{q9*~$6KIh z^-@0XV$g`Qpmw|s`Z`%?e6X==`TE0Jp!rPF?0l129FT!U_p!%CykGsoL6z|YoN>FpErrB{B+EBb?@uP{DY(*2oLz8v{>7LLSG|^j{ zA-F2VF#JU;=cjy}=u3^)+e+AniGQ&YMC^F+{BY(Zhcb!4JkA<gn7F8h3QwMv;{RtlDt+rcA3OB)Yg#iR2dVfNY(4+E z!Bhl~x=oM<4o%(#8Y=}QQnl250i+t9pLB7{e1MuiX0NqF`O(^B8T0W)%()He8{+Ka z=~NTnb+xMrhfSPV`oWgcUI7rJNn+-9i% zr=Vr4wzxBC@2r@GE5BPlzyIr2SbNFA2Z<@Ye4g`Q`#rwe-^}oShP%t;fNB(scNoV>EQnB6R4)7ctaYbW3lWkq7nG%YH`gG*7!f!h3e5>IE^Z1OoSoSyaKE5ezskU9+{2Ebj7L^3< zwbp2>gpc5xlY=YhL1LzW7w4Ko5Yo~zXWu1!KR5E|1Wt;iFObA(XTKcS#z`NSpt87g z1@mL?zgEMR&I65~^Gd;=rGjwDH#J-jTI{^}^F(R%om)<*sN2$t3wvTzi+qD%W^sbN z)JtRRvR6hsj)2!mQ&pZ=AT|b-JK0y3Zq4+sx4i9ZZ^R4rU-L~@p5@v?m@_Vyy~B*; zGilcU%}StDA67BpbGmuQW=;P89CzNg)IgwtJ|XWuHOSq?trr-~)XXEYE>g&nM$8fp zCuRI0G5rXogh&T&jTr#e1iKy%@TOrj)is~83JBwGzm;CgzL z41UjvC-ebzvq)V~>u965TbM?VN>>Azo-K?6dsGnEytM*=XOaSpo8bIm8wyv8aryeT zwWIp}K?vVtQ`^IxH_OjI4v^3xMbDKm0^-)4fBln-T35*gD1p}qGA&U1}hl@*h{_So1WQ;IaBd4@Ww;gXwncdO>};i%k>xA+?A z=>{}s3Kw42(}vObd{~lk#Hn)sV3!-*nI;#O`WB79$;%@Z>9E3)OGaOx*V(;$$^NYz zj7Z$dZz+UYnrwkj#>7N{MD>2W8Uoy!fzB<=Hphy<{OEXwHv?d0t_J=iWsVZfPnHa)*p-zw>HAxzkeGtL7&vG zIs%&-xwZ1$X5qm67#gVsY=@~PJkl36C=KXs9jmH5ecA2AX6Wiw>*O_2_a-DHb1D~J zhNC=(JOTXwMa%pd`LHP5mg91P56Tr@6G5n{_{s=)GQ}XP3tM_*5NlU1!o@$(v=n!| zaYR_0+3Gq5Ihk5gECVDKMgK$9>#WF^;u@FW?frDfXSKA=zjqfwpht2eww zD~heHb_dzTS080zAdD>3mEqPpq0bRiJ74IQg5~%W)gaCNU)}~$m>+G@#z%-kCeyrf zqQ(faRyzK#oTW3a4{rsIyqCj3?g#aOIVWpD#sEBheP{k&xBPG@QgXthfr!>(HF%kM-Hc(c6olcXwxVS;v zt^wobI-K5EPEJnM?w@|ZG`$DTjg1TJi?Q1Hh}^|F-Qi&~W{+Ob zY!8drF*?>Vj>Y1oMPvPGRVQZ&Y6AnH4l>X}#Xd%L?PS-rWdwF33{S-P5h}W~SG4)v z1DdQxI)3}9=2nLd6z4)mS;?Sl`Kcin2Zy0*Oe=2+I|Dd)%hbYdrDVr;WZ@=u3M6M$^v6+Cr8H8bV|RP*1n1^`ewp4rFdACj#-y|Wk|wRJPvR1hx=UF zes>?-ta{jqJ+nu6^>Fjv=G|eJEBMpAoOr6aw4@14{=g?BhmfNFSI^!lPlD!$eoiOu zQp_%N3Bk_v;2aU;nVg%rddAdXo9!Qw`2{aqmcHNsA*?k*Omh2;aXfM51mWvCc*Nyb zgNX{du&>+-{4=3n@Y~{Z6!zjj>E%xZX-A80&L5*#EPoLxY^g^_BzsL`T<6>&+|;$a z@7e>AE|2Z&(N&XQ!#rbvG9))KVPTz^AB+t24`;|Fz0`}nulS(F#Y2XH$y3TiDl#T6 z>aH2JIgl8o9~I4L`&Xuz(Lhz>s}q zLr;~>gl*d(Qf3FzsN(msT{5+F1NAq#V3JLrQHkfgiV%P`V7X=C;yP!IRHS;h66;(T3Aar()~sdk&M?(=9n|-jVQEweU}iV|K#?uWPELn0N3i_65~MW(GT!; zq_0=nn9J_Ts?zz@q1~!mTD39img#Rc9SBgKIkf)f44J|DRfuo&s5C62kNg!L6~KW? zLnUiVzW!e&sHjmtb2^yf>FuTHwHq^HAj7|b%$+sy>VAs$UMhaqek%Oq z`zz%Ib#>`sXZPX0LH^5yHE|$DIyjT1)@m(fd5|XaB|GM2lE-3{w zn247MMmJBahc#&E6AaZ){Y7Bc`Xj?S^iv4x&E=UU`)-5n32%M-*SWNb!Vz|Z+mRN z2R1&C!E>LnYFEw?)a~O@mqS2)z)?77^}i(<$3JVq={F*~ zZa<3ub8%rqkn7Bgho4$v8()1uG@nK??dshi_Y@zj9H1sZz5^d<8cLd6nuG}@FET#g?}*sQ~RsbL}6NR+CNlW{3AplR2l6!jN0N-3p0ySlX0m z@?Hn9z~MA@*+_wHYpPB)nwrQPM)t@6^eW^k;PG z&>VhCDXU4z+K3$P4}?HkstuQ~4c?35HGXElQ9U|%Zyl&ezAr5y%|f-rcvykVv1Y7# zIYTnpytowT^Hf-7C*t#2t*3K@Vu?K1fn<$pZwV-4qFy;ygXn*PpD1~O& zvF`i$6V!QEpDVcWJL}U*BUtQPbFkh%X8HC#9SjP3_|*KkHj~YXP1X1Ql74|Fo6ZgP z{JaxYP85f2-(H8BNG;z#o<62zz?^%3Mcm)s*c>d7lBG#+!ESIVR5w_nw5yTlcSbV3=gxoK4WsSO)efiOql^*9 zXWxwZVf&ufyce)8qQF0=7?8oV(1*7m;(ZSmV5 z!td|$sxgFvC3bjt5@C|WxN%?2cpZ)x^v0VdFL`%wX0JVV*TNqzCGCw)Hn!qXyQz#Z zKc1qtVD@D4?CyI#?}68peVzH0>Fc+uXPeQXZnHB9-4o0eR211K_mS^(5*!X~8#n}b zx1Z;5-g5kt!9yV`jIdMabQs-OWt1oo%4=8Yr&{!S0{Yy=pE!%<`k2c!2Ciu}&r?0O zL@{Gp;Lmo?k(8wR?G!?i&vc%%be}MysV9chk%xjL536>lFSMVct%53BGS^QKZeL&(r8K^ZiW;P^?@_*&}y6NSOQgQWVCq)~v`QQ+I zetti%RQjpZ@1-8w`!RO=!X3KCaSw%`h$tikL(ijgHP~vkq1WqEwGBKn59Qy+>O!h-ZIsUO{mmL_HgNY5kq@|Q# z-DXS0Haoz0mgt03w%nL+Sxsnc>MzU@w1U#@?5!I%pyNhgoYc zAMQu?;NNCepxQ-6MG3*GsNH-&c4^nG7?q21r-;E}cMxQam^1k_^gQFI6T<5M2gy*{!jT<;v8 zN(CHZ@0CpFG3u-ZBdMq_)p*+GISTLmF5bH_rh+Ap#ce|TL?Ex^#}S9fOE6IeS7LrW z-c#qP1G8L}S{1Wq`Z1x~P9Pmev*d*uYZ?;LGTZnMf)oCPBMNIlzKg9Dy#BsC`mWMl zg4ZxD(ILfe5mq-iSFgi)PcdPc-K1z;s~q2nm5#ITqvDAj$R|U+P*BTjf^c0QIcy#u z&w}kAFC=>YzRbJN7*IaqfZ!u+<>sqlYZ1Igct4v+V^}KHTgf~uXYKec?N=_gaf12Su7e!k@c6y8te@ouvzervYf}n%l`g=z^&zRO*{A#)UYT>~j&>#sBS+sp~ol6V9NCZ#B z#6(a`OZkyBm8a?_SeWK5@v4b7a;iDutMwk~Oe>*- z$1VA<^u^ubdzfJ{7C+a|8e{g_Gc%Ph+sp}>k3B{;{n>Y@({tWb0wO%X) z2+UFLnDr0X-)sfks)6m2dGuxtuqFR)`c@eGrpD(67gxaE zC<>Q8y{sC!2f3DV>$ya*{ub}%n!R6_K0CemQ}qd#XlVLX-a8ZR5Bm@WubZo@<8A$o z;Hxr5M#O%q#+`iW8};edcy4`C4|*Ja&D#!($5EC@`{M@+FP{K&Mo89yni?XNoRQ;P z4D`B>3>e+l$&0FMYHujC=K6ra%ftuRqkB1_L>{z}FbT@y_qnwFLSlU`JJQA0c#KxZ{}4n>EgEi>HzehD12uAID<-^kT#@ z%-Nb@t%TJJ-UN(hAP80)TZC1DzCz0-3zuae5_LDTyw-enYl6VR2ui`_f7a{Q`C;M_ zW7v3O&o301x*E^l(Z+@>7)p$tO3>@h=SEr}iT`Y)!9>mJCAM8n zT14)=T(y?EDhAy!Vuzuz$LG4mYrMyBj(p0YqgmmLsFP&Zp!?g&tLYT+aHncc%tZ`Q~Pq89lRB*WS@I7-tW){!@v@H4VqpUar9rAOTQt14=aYzM+f zUw1=L9?4}4;nMs=3}H&iJip&Hv3DUJn+@6)opN33N4oXK-Xec}uf=6^Sc%3(o$9(< z)K2~kFv9UUDgAm=SXK#1GT*oAe@$|G?=|p9t9yk1xG4kfhauAZqC+fv&=&#)jUk^U z`a+E~-q9b7x#c>z@tn6fZfa^OwE=S^<2S>P2`}dQnC=Tl@z*D614g|KcR{66*~j)q zPTuEHcL~i^<8OD?eY)1YxzpU}haHjw8Q6=qB zXhM1F>l6D3wy^4@76)@XRC2#i;r}YW>phXZc%Rj>AH7l`;qsiufQc4aF(0 z*~FKYjcWwwPFSd$^_3u>=8+Hn2yFj3G{8gFuh6+kzU+^`rvl1|R%1PLzR@6&jJ#H6 zR#SzHRJ zc+ee&>0s^8`S1u~iUG9eb{Cq)*48$OhAVN=lo*v?=PFXXuRbfq$G6YT^}m?Vsi&tc z0$7aq_nAn3^$rXyiBJSoJ()H4&YvL2G4~>tmk`@V3v0z}{MgsH-6E z>yyX8#2P%ukG(b9(8;GE^6Jo*0RNFPMrc?%O4l3vBl*YhB&t5XzM-g^rm6B21ZBeJ z(15v=g39Tj9)&~!>e!6cdy$cfNa+i$mOKWWizN}t$Xp!+uv`f|Mk+ntQWYlpwA*40 z4Jb6Edk@TdY6&zXsx{QfT)!!)=;D(&R=D+`>AQ&hZMlZ0?>Hi*_YK+ohvlHJMSInKpKE`c;1=a9>fG}^Mlm{ z#0DK6nz3`3HbF{AWno`*E@+5@7u12WBR^6Y1HNu_xj6DCUyOxlNGt=4gx8=SAM z?*1w;1DoXlE_7CvoVpcz^g+uwIJ_DEI8yX}!^A*a2<(R%D{dI6S%QT?%{4TC zMz$+ix^JxjM}0IBV-`69EzR@g2ENjSw19vB5K8zOa80E}tEFk`;%g8zK3V56X8wpv z5gKN2_#xPc#88HBY9hKcmZ8xyGmeEDEexg|b;1HO~2ImN^Kw=|$epaS$esEjJIk=go zp}~u*Q&Jr#m)m_j*?qa$HR2b&gBuY#7}_} zt-ENpG~h+2bhUoPMzsBUvwKQ!6DC8h`kB)m;oi988hTP_Z3#+y$`T~3>4}TAxKMnM zZ5&@QGpsf6HmYQR`krp|q4r|a1T#Em`s28aa+(1PVK7-%csaYfUCxz3owK4ZeMx=ieZu}*9}vLfiV##|SsE~+ zfl1<8;pUv?v~Dw>xIQIuc^&jp$ zfg%kkAi>6>%INhA_uY!eP?SE}H}W5{(&t@GDT`WOaoai_VtjnzE5xe6EeBhtha5d_ z;6O0u*UBs^)ukvl@gm|pC^ynRzq5efZr+=_VxErvcYrPWfd=`pT^tBgIoSvCq zpl!ph{%S2OZuP}rcuMlVK8occKWf+j4JEVq`21YeRZq^eS*cAjb~@X73jemy8%!F^2H1%#0uzSTIJrw?O@ zs7T@Z_{iX0W_1nE$vP!KJ%$~h3cbW z>Qcd>y*#zG_EsInOo6Xk`$bDYH|VM_93g2-rgT2!>%2*yM#tguBW+*cLVMJ<&Pfs zrRO{tp1>P#>%@dY4_T^e(leEpNqP@Gbl(vLoST=aEt^#5RuXyhHWoD4LeOI&&{5!H z{>kZ^(`#?LS7T?ij=+_XP5Ajx<{Y_Z-7d{E3LnS#J>3)lyg7ogDIG)C2 zzFDlDf{ROyCR%~MAOq2w1K-BHug6CnBd=nLFU#;U5%#TlNi|Sm;OKI?x{e_$G`_}k zg5da#Td}X~J>a6cl;A>X!9eX#y`(npCXm8Ja5cN4ve!A1Nj>MT|-gBk+~ zW(S4lE9sbkrtVsJ;&G7F+j4>M1qJ6!Xp9L6$H?TVf53>EJDI`|%&Thp;O=?8qKsLf zMT{IhmDL?_408%Evlv-X9W@tx%6#5?u}hA$SVhuX$2$>zqwgtNXej&u0BoB8 z@t3htmdD!oZu5cDKE-*}rQMCjFR1!W**s8X8_^9_{85GO2wMmMdNkAHrFM{~AzknE z$Ce$WqyJkbS+>8L=j(Wz1f@bPC)FI6Wl0i%n-2o?v8%mU7m-VH2>BRD$LPN2P*qJ~ z^!~Y{VVf`8RIJq$Lne~3q=soN8tC2~F)lD*|J_7n{{(?-fp3$o%z6f3+2wxP#~V2? zIsMje6u(C_LPGA8?U`CHa>%EjY;!!n2+vW{(6=%wB0NmTtAIXe*ZZ31rJa0fe?6I% zs#hhHa?Tmxb|?PKU=(*|B`Y3d`~{&*c`f6A4vs=0Q`Mofbng2kiEARdA z+?PJ1hM)GcQbCAVw;8Q9Q4)(1t@b-QjEA1m{iRw)ybMzt-1H^B@b3mS8V)@_SqYv} z@!1)=7MoHZjn;}qloR$s%#F>9vHd^RnEl=$42>5%yLcTYnhxBa0$+Lwm7Jm$$kz62 zfh(~{nbd5tQXi^JDD;8g84`h@sQ`cq4+QW{BdKV;iYL7WmzTY7JWJ*qJ|;NW?Rtc; zu&}etUEi_yIg^0+m*`&Z?|xK$!oNnIw^B-;?8s{5oDh4SgNmke$w~gZaVwCZ@t;Wi zSq-pWtm+*dTFe846Mf$&suk;eEe{d+5q)WV9W+*VPZ5=XREYXjDH?{?qVx5U#Gq4Q z#-G&;Y$=qk#PkIs`N>e?qUyG~M)9!Qs%V3maePO+nG4J`%*_}K@r4u)$BqLy`5yac z0>kR4e}}#e$@$E(XI&pfa70StW27SIDyT80bKmTCUr9eyYE@v-j|Ck46j_v-pVi7z zcPr2B{#AEJmVSS?I>f|vOJ?k{v62tYG3ZvdKQls0I1nG$KF z|04yiO1q%gTs*8~<5YcCgcOD>+V^n_x|OOem4J#h$hI-XTWrwim^^Lglug~Ah8)q0 zSQV(0h3G*_Kc_?dD0k<8PQbVZbjrO*m3!X_qPag=e1~+-4+9icC%hPb zzd9(!CZCnMvNjo(^gph4_g(_P$mhBq5wCji8$aRgKapg8isUkYLtC#-(Ed&Gm7)n? z%F@f4f4A__{0nN-02j*|8~7RDg{_c#v-+tq5RJ;MXPJMqcc49eJ;6V2KRt4YGGxij z{Wo~P-&QRH3%Hk@xmaF-Hhp zOILi`+}H05eG1362gzbKN!bE;VhPzPkF}m3Rtz!+jMMGyA!YMtY#2nOUYWQ@W#pgh zy(#vcnXq)zjG=OM1XwUiHf+e)_ktx)q?N&Y(=S zqg#WxOozc+OK=@2aXBuye>lsQ8Yk`JkPiJJ>k^Ao1nnLPJZ*~@H`UZOG=r+Pi@HU zFy;f4@ep7`B`XbA)^)}PUFw+#Z=|}=(4^r$XqgUxh(51;1GhA(oJ*NfhOvx-WTsPi z^{)c;a&X$@l=uge5Miu7!2>7}lB#MH)zyi7^=|puL4z@b2wK_!hB-*U>oUNhl6|gh ztr8vKFyn?`$+L^qB6MOM<~bj4WlPQ0i^;}=$$$M z6DO%i+NOi&!5@VAF@Dl8bF}e0F(7Vmw8)hix6FW5J!F~3xmqJAqfBc}4?sn3&(Qe( zZ=8(QJ%`xH#v-}`DO^ku0!I}O_0dqsf>r_!Hw}S#A_GhJp6~mH^1{EUqDOz6nX$PI2UQ0DZvBsHT?Bsr4%P{nP?N5>KA86)4ttTJBddgZT8PJ|p870C=BYvzmH zsQku^BTwe&>*Dz$25n|$=I}K;JsQ5LnYm!Dt(9U88}s^5?l6$0=Rlq&$u9 z;E@0k9SZWi2Rk#*zBMuf*apDfqPn>BZ+zmn!-worOu_7vR%^EWn{lc-c6z)&QT(dP zak|UL_)PZgi%3?}1|M~HRTGIL7767WW3A|fXZW|}CK_(1o&bsdYd2 z9T=ZoU#5J~II9Xq0&RV)8UtTuwNHq!2gBd8|8VnvO)r3kj+a+{X~#my{pkM{)+u2cMR># zPmlGGH}hmIxV6mXX)3JWoFe9~+hkzhx z>Ii{f-`8(26JV_LN*`z_(W~x3vi{pM1w(*l-+R8oW;jeu;Xs$0f&;6Y6IBnQr(8zU zgSCH9!B%FKh{%j5vQC*Mg!{!k`%q%5oqs{zFKZP)yj%!111naTMpR*y`}6t#ya0gM z{CODnr&ZjvJSktY7T)A;dGT2f+njS*+h7sQ)JAVTa9N5I{14gr{ict3F_2fvNP zaN7@6Zv5?hG7`T{HE_wB`6WoQm#USNoSav7B&NJ%p|co7o&63+-w||Cr}22T*jlxF z(b$!D(}P9-7h&-e6Fa7=#a^p7$8(ilAE)y)l3&MFT*xo@sGxI-UH+rf1al;U?kgJ@ zaq1dJKyvuM=^H?2f`VeqJc;U%D4z3l4t-M#S}+V%uD5E?8n0}jXUHiL6D{V8Q*wzH zrKkC2fF+}B^6T_6_(91TQ|Gh#0-~rgYT(^sEI~<_A0>amff6G!) z+hwph3?^RGG}`^|{BMUg-80$+c9W8kZPimZ=mj<52Q!uT_?~tl zzcT#Fj;8knDGOQqdiL^IxH0~XRep?eyR@%DfSxWQm!=ypl=)wKZTw?tdiLQE1wG0T zjRbaZqYnCo#;hQ%%`o9zW?ov_+jaClm*Nh-rVKOWydO!v2qX+yYY3R62Q4ttd@5vy ze|pPMAXqSzeR-=`^FE9=>Ac4ITJn76_EktoV^F*^2LMN3f0*6&iQP7p)+YSwq7}{W z7p@Q4QcPA{H_2!Y-m_9t4_l`UzrUB@?t)!yFDbOa)52tx=PW~&c$3>%v*|0Y7Ph&0aM3|_Ds zT)i)=zS&FtPv)$5w;39@>XB%29fNLfGljq-wh1h$mzIEr2=WFG2oP-saEYLhD=fm% z!caR?)Bsw8-937jKor~_u7zu2?t)zJ6I~u5f53yUuf-FSA)_n-)2E)5k`%baIg_+z zPbn~e_Hz0!v{cHszy>TUnry|YA-A@jtUTxHX_8{+D%J{_=w;FFeCt7HyKCwJa;ocq zZ^xhM*hNnb-T;;VAY{Q#ef=+r))?0gOF{2NKOhBC4L(20mlKfM<#w2qqHeWXGmZ{n z!dAlb#|8HEESH3n7Wx7N>!E>s1wkXU{FtZ~93&eGpN2T9|0kAE2$jUYSoXK9m!KNT zQglW>Iuvf1HBPC4^n5Ibd=S;<1L}eO0|~h?^5tHPlgXB^@yQy0n5*`Dx*M##<7SZ@$wCsDA z);2a{S2#G7E{65|Uv0+$6L9=gT<@jBcIa89xPm>?!#QTTVw`(+cYPG&pxO@~)YQqe zz^T|b1i~EOP?^%Q8s8juz|-rj+TOns|2RUNe{Ub zr}$T+<>4Ub^L&Gc*GY$Lu2=9WQKJMz6LLmq`C|2j7KmU^fb0*5Pd3}OvVEDv)*??* zF3gvOPyg>$XG&X<9X7McE_*e zB6~jqCDQdf%o#(ln~XE{k53*R@~SP6Co`X631FIEU%_yuO>ai_>@bc(46q69g3B}Y zX_I+G1;7N-!~a;Qmm7{d_ zH|{qIC#o=`h89|9j(`kj+RH>m%S3{2PO`nv6q0-LH9Qj(C~RMHkUie-McO}FtNFZE z*%>a|s&Hhkm?T8NTsQyq;Xs7w`&50QTe^1s%q-JdpE=MxnKc# zmh+RpgDZ0U;M0qC+*%x^8rD->hc0!GPiwaa&#EBG7L2Yw-YK5oU}r(GM+FJp(g+D7 z-5g1LZq0cnX@v?=_HU{g^BirhZIfL%GP#0|bf{%1b#HvoP?5JGgvB0MnS&5 zi(5ac>X9cO&Xf!s0!@8^o;$jRIz;ME`|8z+G&W;in~e?2cRfj&)s{~qOPWi9+~5J=E--DMnun6`hu8~4E2*e&gkUzVX-aB( zf%48x`)$V8ZIAVq&NaqOCiK72Fj943Vj<3Bc||{pa5dWm@hdhBQ|Ob*)QHiHBuW(0G5se`iFkj&;z@nkZe=gKt8E=Z zD<8`&{03~AKi|kc1;)IObVgX@KKLQ>KjdHzC$ppKJ_-6in6d+)@pNua#B?}~IkSBi zf7)t?-F$3?IXqS4%gMRD9ddO%?H~^st^^Mlq&c_I%mO*IQ|sE1v=Uef{uLO=p9_J( zx^{2ZMQH0Be9g;FrAtwgdZ#@lo7c%#-@=ghrg!>kjhpB-0^k$Z^Zxo9fIz=eQ?c(l z&89LVqKf3z7D5rI6ncANk!;@gRmQt6wKU%ibEd++5r#Rg^@iZ^xzU5RM_087KF(o( zV*no)Xy^{dk$30r85|)F(4q8lkfEUaJlB|>pEIKo$IQa}DaWj~m0K9c2lB8Eo!T4i zS%H;B!UloIUNOc1sV@;R{zW{9^W~jd<_3#`E4S>o`>QD~IYryYd z8Cy_yr#01;M1ncU>~h92Tbv&3fO$Oz!Fp(Hcxy%s*jbB|RF%E0(#FSwEwHB5qo6?l z8C@`qsq@?034pyE4u1-u^9BtW5H%#7j0v{0#wE36#Q%@2uMCQ-0hV3d-CcugaF+lf zxLa_7I|O$L1P@Md3GVJL8ytdLkVS&K+dF*s-hZ!Z_YXx;RGm2^J>5N@>nOyfJ=gIk zLdS2gQhY^3CUM>gdBpepVniqX_%SQ>d~CCaaF8-3$jQ7CyEgrkRNaV{Z;=zOPU&NK zPDv$8HS83g40O~#0kfXy!D(=7i4!afWc02hvN-3Lef@1lV%f9E(N}7t>Qu6sdn5z$ zK6riE7gnI7%{o*AJQeWNBza5A9;#&do&K6)>NR}?bH7Ti}7AoK33R%j=P72 z$c^iZyGMi%o)4v5i*4f(6t9b6-7nWH^G`%Mi4XBZfcgZvfy83o_+mYHJ353fBS zI>j_fAyEzvQ1%|D!>Z1EBt*pbuzSA|^|5!I7WYF%zx2M>{LMROHt_h%^WXK!cdhO| z$(F#fy2u)cCe<}I^C)wC@iqKI;7oKCak@E38P&W(k3|2xL!UCz4{5nR``B_5jVeHQ zC|o2!1V@;%_1BWpX3^?_~;6TqPvZ%~0CKbF;%6 z7%+4W1762~WvpB|bm%$&^qK&p1XM7PxASg=h%ecmP-MLEdiw6rL&!ekUJ6G^t3c)O zJ5v|6v9q2fngf%lgwN$!s^;nM-};<}Qkpe{LO=`#0mK=Pb;gF~X0y$X2V;YcHt!0g zh;On}`_%L?r+inzCe4tnTbrHcu@qzVLupXxOS*HM>P=hgO0btJo3b?@(zeBsfYKu$ zWmzc_x;S1C$vBhVv7b3~KDDm(1kx>|c7CK3tMoYo+gBOdpn~yZ=n#3C7wF)+RgH8q zWaD?4!sflRpVYBm{R_oG2su=!(S@6A@}yvig6U8JuHjugNbrKZ92FMkuP4s(n*pB3 zg{|Q%WfP#fYQ$y0D<NWO+xJG4N_D+APX!4q@EQ)A3?L*-F|@yQBmU zc(YkR=x{y>H^pNrJf}K_^=37%h6y>gvi2Pkv*@b?enUY%dwKkDHyyBC8_6f1kaltLb?We%-~L2R zKUWqWS1~BR?^Wr-Ia9?WX|I6I7w9T};SI^d)X<2y0vCt zjbFg!%G4d9@BIT@-Gbr}6Rn8xUvGT2v))cWXOt0S~W+)@f z{Ja(xtx$qjz!(SvbY6(h;GAcqlh=`Eb2EUG{zfj>>N)ZH9{`F?McHq%Hm=smuyahN zt61C_nC|JU#oadD|(b8W?{98n!^`R-wSQyxj#fiZk%@^wTyd`G@&{4J3kP_AEoJhs7TleAJrZ)oiA;$46>xpq?`$;1c~{ z#?0+dSpCsknbcl>p<`47ZNuV~)=KWfX9)QMEtuv=?^876FlXye+u3LX$$J@lf7Qrd z+53-h*u(6#Z}$Q$F&sLk1Iw%D&F*Q|Ja>|z-mFe1pQ=cs2nezgk42^-gB~wOJzTdb zeL4&*YG{S&1 z0Ux@I6gg$Z&9U-uzV*3XRl^vRE5iN={!-ERH^isrvAz<@Wx<9IawJZ^>Wm%f-AQu) zK-oImQt{6I_W05~2b#}7Dq1gezA@&d1DReN*k?vYGDGRWfZ4Le3(mX9HM9`F%+rXm z4xPu+@O#Nw?QONAwLHhl0XC?=WTD&j`yLgTDm>nZCjk4y+-9v2*=8I723gXy+3SO2 z0Voc&yq~m)R8!I#$VT$YBjCaR;iBtiz);7VXoU;pg2>4yI-vQJegt?NGIJzr!D)1v zvH;w3F(-du69ll!3@AnCyDnHj#`A;Pn-}sHdFE~fO!@1kct-_pf{uin9{tVoXM1>- zCd6QfV*ob{Vt4kElG%PhYA6{ygHjg-4OSYk99GRl&o6bu8MpkS*KPBCZaUUA5}CeX z?r*))A>8Pl)2`hXvS0aHw@D$5(Bt>%cemPeH8T;;asft))pSNO0QuH=qFlflm?)fF z9TZ4Dv(f~#hq2sM>~YBW23ICz5BGQC-EKC$4|?+Zx{2Z3aLUlta>7)x{EYhYDE}($ zEj(z2{7A+7D{63h0*V%8Q0ZVn|O%euWIf#Wh=5 zqIRodnOAONcUCwf(Sw4`>~%qICwjBD%^14{ZJ|9oF-3q3}&X{ zV9Spj{QTcLI)phCj>JlJ{=cN<9weY($H;_qN$_zu;J0=BhOIHo@ld+$KbdSA`OO&@ z7oKVfHPD>Kp5Uj3ty966fhbe%zuk(M6Bnh2pe|K{cf+HOz-dVr^%5l9@$7){a@F_g zdl;T@pwaUUi{aA>=FMq|lsQ}sOf|pLl4%fhE+abbczKf$4^esk@?|7&>XS=R$J^tB zl%%#CZ`3JL<#XAWkPK_MntVKVF4SMG+wY|DijVX{LO%Qxq0tFxe>Y;<3}zJ?x)d%_ z`bnyFiG!)pLs~edA2!tA{@Ey~u$hZPD~jSLI|&~U)ir_mf=l6zhuS8rT7wH5-48#_ z{igOioLYm|_bWPCQl7q|W|9*$J((~ZRdSthhUvaSiwE_>l@I3Ia7hVcR-{8kZfV2@ z_|h72n+oVDoqKxLjQ!gdD&%bw5zkg)tC9C(;k%TIxD^9y0N>xY8n3yS$L;6qTn zs6xvI9hC0xitBQevKweMVfYQS09P_OA46J7eu_LMX~(+-5{9|B#JQ(q;yUAMd-kfT zHstjVJ4VpP*B0czFXfx5XSXj-eI1K&@znj$n&$0aHaAK8~ zY?kgClEx!I2WOThn<-;UGR%3tldLgEs64n>$l6Uyl%s~tznmFW46I+AZZ^R&ebk3I z%2&Vzy*ksGk2p@#(tAab$6u<&p;Pgv7X9AeYrb`VKo9uAzv~NCXx}*B+V>D()Hu3B zI$G^S0BW+CT;v@^-K;aH0G}L^L*Jtc!oSl__~pw^SV6Iqyd-)u>JG(63@?5kcbLluqWx=J8uH>HmG?~833Wl_ z??Rb!v1^y#4UGMZGiX>;_@o~zSvjsT*-rNmG41X+&dTxZxvnT87a(l{N+*>tt1 zlb-=Q6LaW-<*Gs16qp!Qs1YgtQvp)rP05zmQi+lU5n5*1O1bZAm@or2{_$~62m}fl zG@g`O@=tI|0m=8TXmoUDk5J{~`5ikGjX(Kdc{!Zjd#&CB#ARM~U?W%U|Ek_`c|IEC zDpkIon*F4LS8Kym+2@n-S1q364_8ssiNiHLSHE=}P9z&V<_hzw4Ijd6;B=CoFzC!z z3Y%ZcA*KjK&Z={~8)%c6?}$3h};GV)#&7q76Iu0q!C50guk_<>Er z2$sp7bWJ4wDf4Gari6Z3<-%2V)jgjM=%4a4=W$W4QYdi*3~abAHjgh^I-bvDMAp@5 zB!yD$CAQFtqh>=E_5ZEec4dyuprN5(wPVvT`Xx|6lyPW!RA^pdn^&1Zr4cu9KiH!gGfGzK75-ofIdD-qr_uB% zRh1lmP`ls)5><3R?o~Firj-GD`IMT#DfP7~ldSPR&%yE*eSjpGQ|+^>8%tt@dV-k@ zdw1rc0Np%%iRYeyx>XPM7d$Od-B>aqnHrB%#B84~jP?7QG}U&3^di;Nje)%9a%Pkw z+w-m10emccg@uGbfcWzx$ehUPCnZ$j9FmtLff|L*a5gtl647X2f9s5LzY=fsMvEtO zOmV;z9;IKQ+%izKjGNRww=ksdKKeKjML@U-NwH^hZ6dm5Rcs9^o(zN1jCIlU*&1tI z97dw~@tCa2*GM@nlruV~K)?CM1{R&KdkMbw)#eAQfpQp)7EEMxb+35D0LCJKtm*Jq zi;AnUy!`cjFhYc4)!;x)p%UK@Rki8S+M7=u>!2^*`~-(BCSo`Ev^`-8g9A3|-db@Aa| zIu6`@Tr9eD$Sjt2p(D3cRW|y*M+ME4`un;G#@T(4{^y1xQK8p8-EFB3>YHnepVa)w z!n~FWh7qU0rv3TkBUMPPTT9;dpV|}(bcG?s2331Ay-{ELN6KW#lm_{-fshc%q2|oH zkEA+X#G0Vob7tvUpiE zW6B3f&ou$#YeGko^o;Z$#@gApKjsf`{U?tWcTDw*y#F@NA$_U5T8_|XPnWLRR!X!v zY<=J5yhm8rp@<2tuVQ?6zoS3FIc=AXW8<3G4bOqpUGYHz>YLGP8R{(yFGRchU@+lT z8#Tn_D~lq+!z7(wOriF!L;;i@M(ZY_M)3$UYi^A(pc6KV{|F6GVZ_7aX&4?{$*8gD zo;!=$c*TnbVR|2QmF&{wRy~jTorinhQx}Q8(t;!C_g)F{5y@Wf8(hO0YT|ipj|?4S^f$JS zMPK-2I^sazkeQ&=BTtAQdbdygtRoJavD0^`QI{IMxrIPOZ|x71N^R}-C8gO>-`uWs zp1!M?TD-AN{>}yRR9E(Oh4`pw8HWAz@ACu{tu<~dPD_LJ(|2olI!_{Q=GIogX4Pb9 zAOZ)6Ixu`j3nq;r3c%CfH=DlXnUsJ)(ViiRQ$5=sCbu`=x~hubxRe0`+5OAU0aC4b zsEJYZ2oqv!0ebGN!MJ_h#D7&2)hnC0vE z@$dg17hs#Pt~Zo2+(qGEef%tFLTUADB9yj4DY!{FQ|A%v?|Yk9v z_l50^la^m!uYTQH^yiI)ilGw=U;;n?>=nK~V*4E`TgB7gn#W z1Zr(+#X4cIU#8hJB%uB97gsb#?#A0yNK1Df1FBq9KujQQ14bX z;n_coNLBOgnoH&9$doByEC%C^lzw1xiW?YNloyHWC+X=7uN^(s(g3a4 zjqpyHH0tbcqQX`|^%(0rs>&Zlv$OZj)zg`RUuO8dA5c3IB-io<`71E^;g{gc-@RA; zsM^7V$F?`aFt0UFtBb%t*0)ITI)uY>Tg}@ntua5;#=bvlO&QWZTZx5eFZ~!GlR0^@ zm?^Xt!t?shE)u);U%Q_0*GY|4r*SG!4;i0Y8rLOD*F|S7;Ti1X1)wQ7$2$P~PrhWO zc`*XgfQU1ZUY&2rab4fx+^zvxPT;qf0GM?=QIuVk@->#bYp@FGjE3i$Ct9N44##)s zv_MKlSLR&`hA_AJ9$tlWg`t!F_8N;<62ou<5P5?-cRNwg=fc?V} zxPKIEyh5+^bg%3upa`%iF);^Fs=)HE8aOJ>1hR4b)P z5t>k4doUGAd$zHWORMDzT-g10>0;GSJjp!p!Suk5aY=E8djehX-1-rT|3QY@UrUJ+ z8HxAk%cjd9U8RU2vE}2n3GWo3rdK%>OwQe39A-$+)8_nGLYo3%c4bvuW5u*syN^? zO7cv2J<6Lw7(~hdz%tdho+Q`%aY6I_?Ofpr+5@P z9UxOG#tHJ!&jCx&?B#pzFyVfVntQ_2jKGxfoWS6qthI|O2jfYdAZ^dDmv_pLTim9t$_?!(`I?poyTKhaT3naViqt&&=tladE0 zGL}!5x*tsxwkT+ltSq|}RPJ5q$c%GttKxBjL&>9V{%YK8-zS-E0qQt-4%Jr+_AcKL zC>ffN4`dEsN!<&)iA%%f#l^)r^l~930qrM^bDn#UR4CBv?L(sH{xHUy{2cJYK%Bku z*{Gt}XkB9PF1TU@v1JeWPens*eiJE5(7L-MUVqrrLXN!&cWdtxE_55A& zi9xt<;xJa8#(kdf7V=O{e#Er>f`*5h8c=b(I{0TpYKNbeDPk6PT*l`08ho!yOQ0%3 z0Y2qqM`m33306{R<)KmE1;vALeO&m$O1Sn5sYb;K|LHZDI33U!birJV?e-jK!Cr^}GyT0~FFYV`XG zLiV0HtTQcK%@BBdK!1xrhUsu`>XtuC4;9@;#R7LZr=stDl2uG`g9~-jlg)SYok_VV zGAhLpV`p`YELcudkXAXt>$9hrp)N({2U3i$*%w=>-6tO{2|_Gi!GW!*-?|s@!u3{c z8hbRf@k(G==D7%5f4ObI-W;!lCo3KTn=c?7r|a5Om98Gl#x=?mK+H=%8SU++dfniW z_}UAp4r9XqgbWB8B7P(mBB5dpV&VOkf=+ua-m4ZJXe;o|o5jr{VL4}FL(^Smpg_iV z1izEIMgN9VI6PooqzQA6d4IohC;stI&6Bn;cUF)$m0%zl|E3W93uQSpO+qSxPsf9c z7m2h(%LdH4e6Ale`eiq(+$fsG-8B!YC zUv)!wt(j)|ja2boA4z3gHspm1dQ%;LgNu~(i2n!%2CF=oK`>@iY*T(NK`e1 z^6d1}>G(opsFzB9sK+L;rRM2qF}!S73H63J>0voN)MVZn|Q#P2I)P2!@MJRzP^eKg4mc% zZEjhIn)}2eC-kQ6drqBKx+vd%1f(0#n;5Q3gG@-N zSy8B%xF>~w!@lZM!6l9G^G>l!8T-4=EOc%J0sxGiYig9P#$obfUBK@vj9T5A%tKip zN1W%TJzQB5a-aU5u1{;FCUOc5YnG0v$vDl@y~Yc2Ly9r`*Er+6zJysE>#lKVYV*B}b0^PW#jIe}&$p-&t6 z$4XeTXxp>r9N^*Hkhih4zqo|`IS^L|fuV3UgCj>yM-7XAV$V)Q*geV)<^{(hbg`Tn zDurb#$>$81xRDM2P5w^-!omM5u|XXsCagEQUEbt3#gN!5A?;(eZc*yoQ?jJoiv`X( z36gN3VdWE0pti01!GFoVnPVTXek8FB7g1>x;Xp5Bn!MZ)KquBiz(62GjXvTLFNv#2 zqv7YZHIpQ*=kn8AvVaO0tvL!CbzV3Q_*nRf{Iy$O03#!oR4O$qWxSyN*>1$P!drO1 zaVb{%NM__6<9Z+tJrOB}>IsCQ=1`qaVjV;Y69KgyXJUdq@Df9vjF>$}~oxQ!xPNA)oZ1CgwMHiuF` z-LA0H3kSM*i9L(}A%Iho`{CY9lCST7HbXP%|T7D+OTTv5RzRJ0)xum#zSv@rwes>$6t%L);UEN@8ge&`rfoE^~ zk7SF2-va*qb(7#`ciTVV`FjF+EL`u}S+C7%zC!z>g9F-uFHA#hA4bLiWJ+g2j$pPy z;@E4?JGRu8MnJ|sR`s&$%Y}T009~Q;&mhtQI)d-Vsw-VZvqBCyvK!al=URs{0D_4F z;_$f9U-h1mN{2K^)yL`5gRHaXdNE8`VOP z`bQU37f?{whBDIHU*b+Bb*BO)8%7XF5LGa7PwPng=@s()Y<3?%+>;>(UNjwY9>rmWy1B!(g$mSImCJT&b$4@eh#(@*7 z)zA5m5_rH60FdeA&3J8IeqIPOwc@AXI+;i%E3hu?Iv=!u%|yxlK+Ig}L5c?8Ovw}+ znK!&D`l9^J1WCL+>u4yb_Z-_OkzxjjUNi{;f|dAs1`e%%WRK5VF%#PU{Of=0K7>1? zf^Ppxdv|`pdKZ>8^d|>zRMxm!J4zkD{6VlLlJobsC#6qaA1_rS7CdL$)Jz5D-I7n_ zJ7ns-K4Bp-gcM-y*Fq%0F3UcA9-1ot)3>f_YfLxvA93LU-T5D6%N1;)eUn*u`RAJ%&4fr2;IC8VYKfq|nHQJ7xn?^ruXQ zrCCx*bUA{)47~4yxU5MZZ9iwVoEfCH+x(dYt4mvh!HGW#n{ zU8ANwOUGPW9-F~0EeHW3{%0_NjSQA)q z9@}CIwi8J`XP|e?wP8_^J|ZGG3L}vVKQv)LokDs1b@{xyu*7%AiSA#BBkbwfghBr` z>r0O_X?g}u#!tnU7Dth7rMt`ZLnj2pjsKNNfM8vg0NU+vBAv<2SbB~0stT#tbOa4x zPxSY%VT%YkE&-m&vNVCo@*shsPYzGd~t>Wh3P^rMAOh^+{fW1UV^S!;@)1CPnw;!N*ht({1{;y;i z5S{a#Z!5oIya7T0XEyZq@mQ{0j=uj0(*;mHv%cINoND@Y4db4S@j_1ih?8v$QQ$&S zUtRINpMimvyyKOk?)D2ZOF@wOvFk8+(Chf$02|o)kC{ihjEaV@-;Zhn{GDXk4IN<3 z^Zwegs%LerP$xjU7%d@yTQS63*HeJlFm@;{0lP(C0-8oGYvnsc@-mQno_jw4-?;-` z1JQPfaq(I2*g}_eN_Jr47ZM~fN|EgbyCJD3@>hPVOy=4@5?q_S1&sxGQoLUa zb%LRaywk?D$Rop(LS%K2WEqNiVY(}$S8?*O6i(0GZUv}vk@tmzuICwbi~J(I))&6lp(AYM@DL}fUHUL!<@m(h-x!mvX-QjGWeDqxn)lL31ROY6r za}2*bv5DHPZu*8jByAvSm20)jUd6Iw^Y3au(V!#VYNn<%(Yd{DrSb3;^MFfKbC?IM z$%X|AQjD<4gwFjKCpqP$99>;Uc8iw7XCW6}@5DSDmB2_3DX2mRO!Ses}6%ZZQw2^^p^72R`!nBHwv{)#ZLZJn)GA9UN;s-?Kna${m-?cT}wNYdZ1>$=RpQ zt2gpJfV$w&_TtCV_8k3V0i9{3CFKp$E+s)xGB=Q;)LBR}=PARFO?>ALX>C@ZG9=L| zHTUTH`-bni9jm8r8FRGs%1|~Zl=`HjhsIZP0)CWV_3XuW4t8Pdc-iCN!Op6OtIKWe z^+oXSNAdgiZi4?e@uwu_W1^RG<%L_v3KzVXe) z^LNiLKiI-sjM&(upA>TRFRuS2kYg(pJybrkn_txX1N61%(WSL4kU3l*xvg zyPl~S&N^toaMR~o<#%TVF8YrO)xk_))41i=DzrJ(_urXBBJn_Vn>p^0DDO7BjTZ$*R5Qw_cuD*MJ;IG@{* z60OFx`(p+)rS87sok)A*wURfJWJ9I0?47dOR`;iC9-F>)JnRCmjTk65)<=1@=+${rZxn~$-hqN>-?0xJnSSRXx=GX=6djGHU zS^r25!+k0Ua2aM(6+RezVlAB`{Sc>ahM@YZbRHo{*N?+BYCf|$OT3M?-fBEvx`Bwp zF2+FIdPMNl*XklK=Z5({=<+Jk9V$&&#!3Bz| zK7ubebi7tNEcn1@ER&NA%*T`0Ui|UWlOmvwqLUn>%X9x-jUFViK)pzT)7KuT6*``M z&>fAg^cLf3Q0Ya}hwf%Qhl7?AbbPSDa_OCWM+e$9PmGT12O$StA2MM>g82#?aCVi_ z^o{Os1eUepXOCvHwJZ!{Yi7rp4(CJfZ;?7^CxlcxPN7)j$;xL&uaHvDT@T@2pFsk7 z4%Z>~IkTgqI^!LK5jfWH@U~AOyM*2qdQfh2+2BJ-`@Vd*^j#H0(b)L-{3P0%!4aE; zZpKT4U8u71L+8bUmp3G-r#5qJOQ(iA#etcXm2=S$>5Dx28>L%L19Yeo_5c%fbhU(w zY)r-XFxSii*MoB&w|d#|FSzFGunQ288wa0L@^8;p+ZOp7s3t+Y$9iLBg^=rq^-S5SocM$(2xcHuC;1b(zn*|rPMziG3eb)-xWsO#6 zwL$zAlO>N4WIM|wm|CmCdQzJFv7~#zGtILDPJ7tTGg*<_3MomEge*D+$loi87}js z_l^rD{P06?h*ljT!h=lG4j)(T3zY!S@i*D z3AQ<7U38(_qakq4(MNvLq3HdXFDjUrq|#@e2A;cx^aGPZf=woRZZzo`dY+OkZCKiC zE5?W>K3^`kyPm0M9{oyyW0i5L>ho;>kppwW*x z0yhUf&EOfk4HYVUr&KrF4wR?$_;%M^QVNGLP&LD~k+36dX>D#fm*J!7J+aKl1v=7v zezEK(4(qhyJ(6}cZl08(eGi-C$Orubv%zl+f%$mW>BvNYfh=IoW!r!CU8@&9;4>El_DrQB2s70Z3#CsE|EDFkx}U=1}(a7Z}XR=DcGi%tHZU zPT+&&Z*$lIU5M5dY7BK4W}aW+0XK)Skb}hMwDsz!r*~sA>*Br!D`8rVO5 zO5q*c8x{$keK7iLh*1%%bw7vlymaI$Yg^_7ma{le4L%x5Yx*?Kjqea0#FYY*_SIm< zc^b|)c5%e=6$Zq=^DFWE9wkL_(fI-i2qk~7C5_eRRgRmsttMmb#-y$JOJ;HKu3Oj> z3%UPH>Xi;aR;Td2;#6`JkiLGDr48PU$C{o0rKEfLkdejTxPzNH?}9usIx@9573jpz z0=PJVQ2KLswiC|}NE`!A2t$LZNY}q+9_ct9FGu_}1xurbaoT)Di03_6%=uH zbscs3jWp}eCmE_eQZN*lZ=7o<6M(vUqTwDgVV3zSg7%y;Ypy*FtX}(x&o7gkv*>98 zzWEVmxO}F=uP-c=ASFsjYz5+rt%cJtwp4ux1PVux$Be0~>xhJ|NMWe{3f<$hRQnU} zi29mxgqX%(4XZtvX~L!ubIes+u6H@<)6BBBuq+j3P0(C?G2kQa7b3x2#*{2Kub>gv zDrs*(_VDAtAKC>cUPL+q~nXc1CRZwCb4ETYy} za&nQM&~}7i!#`Q36vbzKpL~&1o`UkD*HC9+-0eYQ!WF`9F-Y{tWy?!`lHj9HItBL@k~i2nu=e} zEZRysdyo(^=Fn}IH~^IZv$!4Z7zJl^_cTbfcffPVe;-_CO5ELEL=2wQaXy`!Yq>XJ z|9gzKDMX;L6%_^HjS>mLT5Y@M@Pyig{MWqTK7L}YLvTs*wOj;6sV z{LL9Kd3iZ$PC6IKsk__e+`@W~*IqVEdaQDTOo3|0LL&wN`)9gkg`B^Cg8fbd#Npwg zfn&!>_T`{lS$FJ2uYabAjAx$L`-38agksel*TR|Hr>HFU z2C>P^TK!xbTLcrdN#^PC!n64JU$NnA?XRgompCU>$qdY&Lm|6eMf>R+2OgH07(xd5 zn#A?jCEp7K@jCRhrl0QVVpnGp54Ag#bXa#=4z85Cl71z}nOXp!`g{w)?>|jlC?Rb^N^l5vLy5Pg2iXrX6M;QhH zYGYpR)2`4bR@4TfP40{M9**hk`S0ne+q)Xpk=#i55}m0?5{Z{h>W3bG?7bW^SZ0G% zEY)~wm}XrdMGQu^%*voopEf$Q$LO0r3vRPVaO%cz{(P!pg&8~wZ7_fW6DGi<8B?oh z_8H4@iaD#B7CW7$mYqu&5sq_h!JbTnNci5?N<_z&m*e1%<;2aHMy@;YN8gG)Au5YL zbBlIgLC-GC4d1Z3p9LI7N5|&V4Jr_uaq{+xzP3O(-U~)9aaoQu#l^-3L--Z41ix|f zLrLKGI+Kd4raz(Es=O;hSW@e@z|peB)lBwBjpIjM@kmL5mENfiOka*rMzd&CK_q?m zylMm0Cm0%D7TFAyDyvY-lKhnl1ga2xm9q0ZAsdGvglOroE_($vQoaMj*0HNj>l&?n z2cBqDjt5vH=bGoqol%t`i4{-?Xyav}KRi5eG`|x&lD95`Hka0lCXmg+U-tLVrcYtj ztx`JiHt_t@4yWAJ26sIdqf=i-mJx8-VJcR@^wq8Wi~rG6cjKCl`x1lqnt7cg>%832 zng_hY>q)ynIZcq&+)S}<3bZ2gT-#6b)YH#`70L9NdOKKX62DA1Er^t6NXwX>kg;|A z$G&h1L|)W6>l%%Jg%WQ%ENNfKYJ?zsFoC-#QB(niOH!$F0-K2+gL_bFaNjWK)s!Pp zaF!zmnzr3t{*Ma~PaJxxkOAvx8`VAgn`pBgl`?B}L7g{vKoqyxG&zB_FJMXIZ{dvl zw?DD@dJEgm3)56$TDIY_g3f|F>|}egV=-Arr28qF4;j&B3R_KI){BFNmz7+f|{|e*(FZShQ$fXU*3bN<8lZYnpEFkcsvUEuRfibC|+oDBAGRs z_CC?g&dqQ1^g0aQN=v)*lcJNlT&El2r{_t`j3C`IjE>k%0co)gAr`WM0m~sM$kY`U z7RJHN4J-cH%cUWxNI)YAVZ-TPbzGTTckq*&a!uXO@w&=NjeAW*dmGq(nuCgQuG=e< zQ+)Ot2Y}UH{=(W7@Zb^B;{NlG)*K(ck-}ij1HM#+el2mvsXzJK_w9XmYj*70T^D9w zv0OXOm|C5Mj;zlIDh5H;`8(D7`wcUavkeHzz-{yMc7W69@}XMx0@sG5z5QBfGm7Q8 z<#R_rjlcBg()kEljGFbFPIKG_^r&-S%zOJ?@mhR+cBV)D4+1VSot}J;{2$3kA4>%Q1w5CpT>(?y#iD>P zAU;pyjd@EEDOjlR<~T`i>hhap$fR>_YM%=<_Wy9xFWKIv><;7<>E?I-M%R9g=$Esz(#e6B|Eq-fbVit71ea^(H0dF^g- z8C5az&Z;PXqpB4MAfGltXCMa7ev2r>fXEj|R>X}cXkOvmc7WM>F;5bjBnS+V@w24? z{++&f&u+((h^;O#+1(HU49M5>pmw~)#vFzvMQ$w9p;!4dx_rw32DQuOW3sRV|Cpt* zdZ~)}Ue(GB7XM$7r|I(}R|5y5SJ;2bTH{&m`qDO4^oT=w2$Naurv1Apv>W~K zU9|Qzg=K4Cn!ltqFHs=oE^bco6U6-aqc-Sn!pS0S4K_i+k)pK${NsWI058G30#?ya z0a%aML7D8bIgb-v28QP=J4x*`tKT2X1yqB zYOE`7;eXejH6>nDpp8}nLn(Vj9wq%65Xg~e&Gq*;`&Vyh`kV&DnS%ofE^a{ST%pBm zF)`KT#KOoZvG7RIvv-+SQX;D@s#-o5*$O6)(l!c!*=t~vu(oFW>sKAc{Qw)7FH1&B zcB~MT&)`HF-d0+8*XMP~$t@V0>V8d@d>zliPB*G{cF$t02AKH?7}-M@*e1|SY(oio z+c@a)YBMIKOlHN=jeC)Kv3hgs#=R~G{_UHcMHyj0l2c^{POCaPVAo&W;fQT@8rDdY zuzEln7=-l~UwsTpZrghP2&?X%x78Gv<1lMi$UIWa_dw3m)U<8pH+nxYb&f^aS)we+ATWA-CBz2(|(Rt$2FxGI{DHfiuegRgxGlFsnUfiuYiGexw3d= z9e2Qj<(0objU?cDmq*#UGLs$?aeu)3j|Qk5v(eoLwl`P0i9sCgW0+k@r53QXNkd)* za-L{@J2=M7k|Ocu6ov`5X58X~pFt(dWZn40I>`}syJf~W-6IQ0LW;AL?wj~D4Nek7 zz%O;7U3~UFKKhSjUIM`%B&Wv1Q`z5^a^lNuspc6KkO^5KxfPf9U_xvo*%d{pCAC3< z&K*}dB4g;_h_qJaShkE$g!sb7ce67SOQ}pi)F8 zYX0Z~Jc^^EVC|-LS9=v@| zIxfE&K!}Q)Hex24&#qi2L-MT0L~eAFQd8q)=qZ0wGekot0f^4jd9OR53{!MC! zQc>L$NlN4X;#BRe5c?02>&U#*k>}PC!6y7IX50Ce&~_K!_)}%6fvm2E*y?|+!@P$r zgJ?YnjTq(q^BKxk?n0a4F@DojhgBjeMJlVkp}KB)k=nt}WO~oO6}Hjm_Zc_!>D$VmSAH?u zih|QJlMWf8I4yRml;`>AXSSlE!C8r?miCkfM!`pBHb^o3W+M@)C%uSm2#H)!bA{)_ zMXr+$gRPdf9y*cvHBSjqn$7HK>!EO$85#k%-=f&mUTGpt&Xy)il+yr>5{j$ExY}#h zU~v5eKbd8gb6d;tb|QX!thl%_W_*c=c{cw?)%cPx1q{gX3@DQ(Vm4*t^nr5~$(LAP zp+6m7a( zwE&JH|2frcDPgC@{MZdyQc-@bYOTADzH^*@MO*6|Qk0DK)K~6Gh~L$@KS-QmEU}X+ z8RAMB{*$Z7>>!EB9Mv>N@q&T@ zk~l3CX7y?kU0>5*afc!&QaGK%2l~f?b4e#Q&tj^jBJb6HMLpp?(#lg2G68ga0vYq= zm!+`5Y+boO|fzm?KdWLIVXv*DO*)B8cWWsXWmzkC$pE(S;Q5SLR6QhW#is z36Jh$5z$UUDWTAve@fETFvo(`M_YZg-#NuF-j^U{_aB62O3~9eAI9i{KIP0#&t;zT ze~>kXW}V_jvrNAw60{g^`r3sS;KwX-VZ854V*Q5K9`ggVv>`%?@B+juZO|hROYVm}Oc`I% zDMsINf!M^-AQUuj*ZlHcn~3}dZmSWu(0)`InJDh;f@>U)=pa9JGVM_&!S$ny!d8Er z%fDqe0TF5|4EM~^#;ajYuq6zT#~;Q}G2HLFN_0P>M9I&Z8u}^Hq_FA6TJv;7^#NI9 z&_CjMW$k!E=RODRm)^!lAgrAf;+YtHF^h+UZNC>(aAyA6+ab-5ti=QODTUk--&)W(3KolGU=c#_rTP?1 z=06~tYO74?R%-k$jgMYzE9(WSjG{fOwq$Ql-T5xlZ3pDFlDJm98Qh)7>K2gb9TD zQ^OmL{6o2Uax4fRE^()9<+)$=9lC-YUce>VV^$nhNE2 zhhRPT@q#j3ItD@Zx=hPkZGeiB!jpBRg7QcSt zqll#)KE6idELR)=7U+0Baj4Lpixn}*YAHN!`*n-P+kbOG0T;D{h)4&caoT|wkUDxR z_Sr-9W%+_&k;oO>DK6$-RZa5ZBn_%SAP}i;4m06)VpywzSF&fDXjWE75ped(Z>}7# z5ls8hO*@21O6Ej&BLG|o>Kg$WiPa+-i6#DM1&APYfe_j- zLJ%+OPXiS-GRx)=wi>cl5Y`4q2A({}j;e5k(LXFpa+F zxYIg{(el7yre`7Wnh^j0s5F!3lyBnlax#|#mqJF#1(zS7DIf#Ji0qTV`@E4OVjqB#u zps;>lGsv@^pobOUm+F}58Tzh&-WpW1AL@1zv*&MXXVo)`S$?^h^1R!1+g4LjI*?TN z>}`Az9UTs@UOG@~@EsA? zTW>v-<$ns=>{Ch(gR z?$@5vtAL~moZ(rDu>l-7L7|7Yo7nd-v*fg4=Bvnm=jT(BU zyFdTa^18LzaBeBO9xICLCid$fu3Fcl*Xw|#7zl=(#5mjqVKINZb}pjLIW*0H?3ew5 zuozC_M`et>scI~5|EM~$v6x2aB45?WZf?rZe>X1ODj7w3NfE{({%7frAVxQEDj*?4 zLZGf=GvcoCl!!Sv8>uPHJ}n4<'IQOkqd|7|1U)msmpa2H@&ZSLwKrpHYAwp|2X zo;RJ8&{b~F8zs>c1-5xmQQcMnx$(LIKb~&cgFOjH3u}V*yZX6RIE_ncw-7I)#9b@kAm>8>}Uib_CC7{B{4MBo! zF$X!M1uWpnmFw-){_Kq>-P37ZK*zj>2QD++yLs6P{+oR$Y>bZZ;L>%LfgC0t($iwj zB_@61(c>Er-4fcI!e#fJED=B!dA!}(>=kkVy0nONtN4;9lxfCPapv;D_3PCtcJ`mh zY!Ng?3;}&PFpu3@mW~%K)N>aO&}MSCmS+GJIQV0!*}diDB^7b)$z_|Own}7BHj(41 zNG#^opD~Yw!+>YK0YYo-nd6wmSEM=d%U$D)fvIpG|03>X+b8Gav%@2xiyy_dwMjz7 zC#pse`2EiNaQM0*<&{auWY4Pe_NR^KfiJ&o!x7Z&nEtuOz?mQl?bjnB2Zj>0{{luF z@K1_!JOduMH#KPe3t>Zqb7?k*r>T+KJR>b>Xo%(&LHM;WQxpZ<28FQD3`W`41-Aq$ zr?YHf5m$m*>cd;iVS<9Xk92WeTg>^#ULd`AYwAf$)S~dcq?mheCz0tkqVz5Q9}U@H zpWSQ`5K0QZpbl?n=%H-S(mjchG7W)IWgiVKXkhFV;U7(Fvc@=n)eX`>MwXiF>pvM_ zQq!y0{lX8y2$cW*qfAv;QtKhk3Vjgo9bp@!!sQ9A;74@kKZd04^_Z;}D;i)8{8E7Z zeg7jQTY0n9UDx|eZ5?q|_jt@d2)>xSgT=q_@27DW?8^yfu-ZXX!ifL)DctZ~&TS$* z@fkNYR;CQ`SQe%lq@S=1Ce_8`sI=IonzM-GqA zHNYT66K~DWAsakKh_oCQeQkI@VJ7;^7G<78D6-UvDlV?6tn_j5B%)5a0A#~z_237Q z@NsCK(#7e&p3#H&gidfb!>eJMs)nlW^#>BG?a-tcW0#;(kc<7Cq)Q<_ zk=-P^9YI{ac^S3pc?B+L9bv^gMXSixL>z>x8z#e0wYjMPEqyh0^^--A`LJ?K?%l1M zy<6eaqWb;j$PkC|S@BzFk%B-tfAu;Oxt~I-yk#U^3Gr=N&w|Yuzn+iV{!d|sdluAY z?tRe1t1o1b5F!$ip@jwPdS4jJ7oK#lAGKJ~95VmxxfMay&yz(k8PWFIp@xb&CIef) zSfXGa-C{+R6W`e~{J8xeYf7S^$jQapM$`{A@rfKs2*^JHKSbF%OE@A}E{sQWD10kH zUZxteD1)eWa1cu`8(gq=PxveMM#W{wae=E&@zANbh;EQg2HU|oc2!bh7d;HYNz7(( z7mm;p%p3@G|9T}d;OVlrT=)>e1Sr=Q*&E=C=0gpZbE31H$sr!E4VKVn_@iyP^tc9U zSi-?_ChOPsP3!A#Q<-+}#JIG0tp@o5it_cQG2f5|(|KZN{xig-aH&0+4rqSq(ae4v zhHZu+7Ru8)LNOLfY|laGKW?9JjBk?U6dnt>{llL)zk9qQ`3~H4`jDQi7H3ga76cDQ z;bO6|X-+dwL~uLPKm1vuRr)p}8{q{j5g_mztGoWW_u}bBPEa*d$jbwNh8Q@NT7#u)nzz2?wr1AOVw8ef?X2y)Jub zr16x$_S-fL2^DSQ{Kh~GYBfEFCyPIgkAM)NrnW|y-PBt_*A@t{{zcd3mFMLd`T)1N zZ;P6?1cph4UJX6eMjBxuMNb-d154%g3|m;4 zwC@Qnh2NYPC5m&6R&sD@ox+TwwkB$p0l20@mAyKykK7N8wuszi!KmN|^G6qc0M_L&(oa|{}7bfUh!+6xdp z9W(pRy5R`CKN{%ie7F3@9U&t<=arn23<2Wk1a`c>f0~zj1NUDV+OD>bHr_ejDS$vL zfQlfi7WTvB0s8uZrF8s1O8Rp@iuGTx{ej#j@G6EgRl@E5NgoeyinwaQrtAZ;O(>iy zRO-dd)```5*=J(qT*vRPE-0&{LoI^1E2vN$z8Eztl2UNqY8kHL;<>f!G_LS=Z>~#7 zt4gf{@=?BJ2+xd?7W%lhuufx+#SK_#p)<9avA5T?>~wABuYm5B-Dihcmh309q##Yp zTC(^GmE(6n>IQzG48HQFr_yt5IM!&wN^}-7XtZ>+%&wzJ1+DSdxBwBhZ00LaNn(s| zmG?0FbI9;KUvJ>JQQ%Q^DBpgZu}m>hDAI=FYbgQ4JRBLZ|7^zTg6 zxl`^S0KGC|G-&K>2Q*Wl!W(M>S|n;L;*whk4gG4H6MV5Io7~D263=xCrmHW9_LK`0 z1{{Av$N_*(Z1oN@lyj6+e|TEe!nseJN*D>6QwIW$b<-!a1Wiuszy$tBv$F4aPf zYz+m)Se<3!qcHLEr5^R^wZIx?FOvD?CnKhg`GDAax@10Qv#DOsgUtM8JC}FSm)CHr zX*PSJ{fR6Y9u`1?G4wt=iM(j7X95S^+~4ms<#=NPZ5GI5VG4LoMF5>ZKHVLfk?Yy$ zqbL3IUPH;?8tbHt2`j_Zg*5d$$i8xWV=8+fx1B0*c21VYKO{7KUabWn~1n45a)_6gDw1EM77KMOBPy z{Foi1&oxinR}0`;!(c$K7nfk8`#m3}nBYC)$bM{W@DkW*Q1Q*3%*}U}DjXjJnTRjJ zg~i`~yh{2lB{jOwDmu`Vh5)y&SeH?6LFVx2T{v^vnki>Fhsw(^TD@wcU$Yde&jZ22 zm2NM+l>c9XcatWal6EsVar6rwJxr!g3cpm>)jwr_h}r>tC1^m0%LiKa6?a~}2dW$* zvV9!zi^%@}_&^9ofQ}6MzW9Q4oSAWa%r!D+OIt?}{oCpgPRE$)&#K1Ep50fJZF zTY}y!NTA?e!xfHF?`sf2kqvT`4N2Pr!`X;I~;h_Mme_#8Nyfw3p&M( z#vuoZ&CgcJa*U!_Ieq3<#Geem{wnz)u7sw5K9!{%+Ppy|>gR{b)cJ4d@gNn$`w=-7 zH^U`O$+X8KxUbtn^%tHUj~$Ub_hCV>6!Pf^b^h~5DA=N>Wbc%fi9aA3n6Mvq?uj?m z@q_}{X6!5X!bJ0c*x_-N%%BOdvmfqkbH#7Q&MALKr^==xEG%o)xj#3xT?@aqRMx1S z#?`&uugEyxJgS>!HSE_l$R&r&R;&sQu=K~oOP5&?$_1{18^87@{Eu0Dy)swV;mRdU z?KVu|dU!LT6O^w~O>KbF`IStm^D6^fe|*yXu(=|f34Q)$MZN@>j+Qcbim72Lbzxzd zrQ`QdYmAHxEs$XCKU2W^Gy3Xc*tK7T#aH9{`{5N(B?iv#U0m~CQLL47n8gF3g0RG5 zmZRN~canB?d|t4-hz85;qw?FSBFY(=dhXwv!I9>0_#W!rYd7H^^THhxA}$_rAVo$k zJp*3$?rV>U#*Tb6*Q&TbS$q>SudC@soaS*xFV`!Ehx5AQjJTZj@vV3sfbI0H$A4ep zmvhP~4bJ!A81#loK<{46WBzN`Tm{7f^d%qd_%YLaQN@OJS3k`EvH*bmYx6S1849ZMB20%DugYM@p5N0`?i5fiAUeA`~KWvXYGEc z)sN}LhH7#BQJ#;;de=Xz=1G?I-h^^=-69EEPU57Zq4^@R3qQB`GSSaB#-1)`gN4s7TbORJ0 z$*#cr4!^?Yb*er9lz;PvLFOu6h+)##MQ@M&LhCvZ;hB3IRa>m*gD5lADq33&$XW{L z@5pa2_w55ZT}0I~P1C(Qu3On*OL)YIG2`m8k3$e?>B|(<-DZts81N$=oS^@Ox%=0@~tQIW?X+p%sr*NQJK%4S&urJ|Iu?eyt9L@MKk8N zQ84CDuPXLAm{(xM4TO`^p&WE>i-~-L|IQ;n56YI|kkfjr4B+%M@kRef&tVXVYw^Ou zW!h`D#8LUb-&QL{y|!UdP)LLs-M&!5oV69eb0CD2q2o#CKS6|$Zi>Ostuvvfat-GDL7TUk>O965jy{o=SX6vc(WGhgzE~5H6vQTg9XbY*@oMFsP{P3m?At9^(HN+kY#g@njRV6*^ z62Nu1+RPVNuECKv%z}?}`!y*Ual2v;n9bmQ8#WMejj_Tlf;C1|O?PMnB}7pHXZguV z%Te-8%)Oa6pP(D8%d29u`%{N-MU;4c-2-h4BNTfk22SX77bK*akj51hzHMJnu}!}Q z@iPx?8EuWjR&T*GCkLCsWac-Itq|-QpXWqi#n_i0DSyGco(t!+b^Dxx&&ih`9# z4#vN&77AFX4o~q7kNqrv6YvZ&)Lx&jJ@b_|is}K*TuzgW=T->5aRGrV%weEs*r+tV z*(AM?`#sLvEfaB7o7EL+%>NG#1Cw9=7aXQ!{~vI;_~uj&(!F`!9ecz325TMO@41pvsA#Y zkd~1KmVKq%v|u5S`oqDF2cE5j#|c1|#rAfjcuHxsAw{2dCmhNvvPH8_aY1MkeJ212 z@xsEwf~I=VZ*G6F@}1?bk)*)h&#jh;o65?RN&yyR!N-d#96n17o(eyH77WjW|G8;m zt)EJtW^!gZy9#)bE3PQgY1WDwA;Xn58t)%SYa(dWT^veW^kG3N_@u9#9J>pe^vm=x zqG)R$0^Z(uDG`tc=G?;?`vOY=QJaesVKb~H znOxrX8(%w`?Q?8a4^kNi8lHF-oGDlR-W;#{Z4ngsz|Q?1NTECQaKXI)b|@H2W7-ET zd+p;czdWd)2sofR$MT1|D1!&)BZ^m~t#S@aApnMX&fDcX$ol0v=iQg{~qWa11 zQg+;+m0zQ^+v*P-xWAp97=T=pr-Y@u>X8~!Z|{)n*ZqsTRbPo{xIDAk>ohi+1kd!k zYKlUINn-AE&np<TX0a3?9T*~ zYCnIszp?Sw8xoHqemyt1a{!hL4Gj$omPt{N2%*XIEz^cr@XN2vvx@I^;kKWW6|ErM z%jzhgNX=ZxSzsI(|Hv!sc0w5SCF0qJv=u@*$W_{P6b(C-d9q7G zHStal3HT0}DA^zNRK&jA&L%98K9PbTbW~Xq;a{l2ziQG{7#)q26n_N^8;)nraFKd; zUkee^?0U;JJe@}GF`M9M72TP#*|7rT4$ZaLQ5Pje4}D2Pg*to>h7k$rd*x5m3a7$W zvTI!NBZMCZz@3z%z)xjKTSFg0E;3L;jgqGKzJKSb&Vn3E1mhq-WA+I*qXDDaRgJag zppUbE>Z9O;x`EJMid;JQIvX9Wy<|LrblS2fu>|2?Qghp~RyPHzwz)%WF%r(h0K>lRT(rRaq}f>6`vftLR`ys;lPz0dA{u2 zba_`Wd1rcOUXybzm8P8;BIAUV=zbiLOR={V?poW_gV!$$X{^d$6Ck*{wj7w#c$+bv z@1i$rha?>5qLZQYixi-HHE&bFUe5klsiuDUea+mc`H%!yVgWp%snswKX=i7 zi@0Pod(NbXsX)6JRoM&vPD?i%^{fOvs+aG|5wAFQO0&xcHB0KpEoo{>FJtJ@Pf8x3 z@-5pc-Z6aj7@&L_T)Dd)FFd|urgZn-kEPJVfPgwK=^HGS$p6i>RG)WtejT^{`HROc zDeEtai4xd&Y<@)Gzv85jfdakgVx zsbfwREE{2RjD$LI z1_6(K29D}LF0165De%_)ms9{>t}X@br!I?fBfLl{n@XMnYZ)^l0sfPO8Kn(w^T^8g z_F!|9#B4J2=LLhp8@Qm~xEKR)W*(-Ez)TwrkLF7Yit1s>=eEO5lCWf(117=HF%>Pp zodU~GIJZ+OY;m;(skGAe$Fuo{eC8?~i^Xqt^W>GkaU9zYji4IOo)v!{#EAex3`MqN zt5t$ereFRs5RZWA;Apngpnn{c83_qWC_qSpL6*3f2*cG%8X&xE%^iVldF;`cPx+$9sQ00MJ^Hfv;v@R0VCjjec06t2NNEf1eRI+^x{D zko&(b0YGAFDby+96BZO~gd4X9xW{do0<(1y6h24x=jXfLDYtEGf$*vCROr9$V&fp( zQt9ucNA%eTN@;BEN#2|FpzPU3SVRnShrhCjNZD6yczoMiT|+N7Yv%_qXNS+3Vw6da zLsXZ+C@dU(#;OK&vDu#yh9i{s8p;!BtoRF`B6u>o`cnmnNnpXj$!0GBwGZ$}wNz9f z4iSAv{QC5d98ew<$07Lmnjjo5cI`KwyX$z3IdLO|4?IwvEubmX=}Hgjh3t_HXwW~# zy(aL6l(Z$4wck+x+D)bbN*~-chji6%UaG$mh2U%kTU5%)ZN=K5O;dz%D_oKDPfOGm zg>A>8o@l~ZJ`b93cc!tQWhT5y&v!jWdR~tLH_&puIl;MW2y@p62hFG}vpD$s8*uYr z&1ts#z$(0PUjGf8`h7uWWnJdD9SB8p&)~*|3Li4vc{eP2U}F=o-ORZQ{sHy{IMXynmHTCl-qEJSp33Cs{hiz+HB3)4!10}SU0 zZ2mHux~6cs(D1z#OV0%ffthM@7eMj4@!F&D@)?PJ&fDlF-NDUdIMQZF@8`Y}n&Qffnk;{MhOTjP<3xMc8;;ci*b4G`%mQ@qo8A!BAY@>~ zuSRQ!rMeH_`M>2*K&IGv0;DsYZXj=dE3<9o1WZm(a5)2Z92kt9JHGC1M5U67gEMK@ z5q$g-03rH27gyS(op98ca&fZk`$k-kw>KiT`R5v|J;n;HRs{VgD+n|B=1p^fvy{{J zE5^b<>2h2}H5bsE*alJ2n5LCg3-j+%_puC!k`1zpZSK@VKNB=3ZeL|jiNsk6P~%e) z7hNlW-@0fqu%qX)-rjvr@7$TS32niyn^~Dqzv@O!Q4&wwba6RXc7ocj>TTQZtH@hw zcp)0#4#6;}{m%mX#%u!S^WiP5xo-pkWW)Tz!mrw2U@~SesQF7iQJXP@bv`))cbYfJ zDcjarSvZfg?9}ha`a*K^Uvj0QTmaB}gsNAYs>j4$+?1q0iqs@M$N9@$mrV?>no$$G z9bDzf6rSzeqS-Q0I@M1tR@!3|OZ~n*l8>ww_er8zR6ED4!Zi`1h~e7{{K;SLA45d= zJ%j=k*=(lAME{FWv%c@->~KZJ#N-ud<_s8#i9u<}>qTXKzuOdOcl-707uXy=9>%j= zhI*{|`zv_w7W2{Z(FKr5O_=9|g!BwHVE(bSWjJtGRz};px2Xiq|Hyx<>5Cl81)RTd z$Vz`vS4Ucl()E+OA87)Y!)Va-sl*7GKw0#7Mqt-px3J^-%k0QS%@tqa?doCi-rJ+l z7;@A9a1K|;$CIYh#S{+U=Ko&5g6TNyV650ffYJTc(-?gtiHlZl{2%6J89D_ya#XHV zczMTlBj*9`Mp)hp$H`a1_(ihsO3NHz@pm!b!tFTaOy-6hIZM?fdn_nRd|}|Hm17$kAzAW5zz{hd5lSs9+ooB3d^UlU@`8 zQwOT6t1AxvwILuaPo})bL|Wt(r{?D{mkN;M$W~=+$reM+WM~EzXwap#T#i3d*CNxq3u6mkN8t8~5~@&S41EztJ$V653J3E=H||2>!)v z!fccZ8Y7iI>x6&A=8yJl|4URH0btt?lbl@W^|^&o&nN)8p6L|IX|yLhRFdS*bcTk5 zJDSRaV#8d>j&MUE>iNKtS)HzsL?_>q zqiWvil`>MQH!n!_p0@INApVyT7XcWcO)WSkGf93vW5E3i52XrgkTDVZjn#bkB-_^Q z?YHhheQF3U6(Gz9;5NIH^ZEf=PLVn;6G%<2UCBr8guh^$~F`!vt+3jY~zl{Xi%J zJfXziVa;u8{{|xaI|Oc>O7Tupro;j1NM(cMvv;QHL4)_tLzyF^2F{$My_jk4=JPlY1!Yf*@9Db*S6u6}O`qFXMKuGihr*IAZ)cWL$oxeavWYFw z2xdcrAAR+zqcjzAyYqjNG{#S!WySKOC`G^x3tCfn%sCP87YWm`u(1$4PGDtmKVw<$ ze3jyB+hcJQV;In6E-rx7KR)Tdr1fM2v}eKsUI}Y12|F+{OFOmR&uR1TnXUHiQnOU7 zxbA^uQ@F>YD~j)K4M**6=*BMnIKo8$k0r@62$uli5r~Rh@6ZnM?-VJweKj@+W@z(T z44v`|HGz93(!_)q*DD*0JpV+%&b}NX+7UkC$O8_Y0u#6x81Fhl&+!~*q^_>CF+ko| z2~_@Y!2|HWwI6i^9U?m=dEf>(p{lU{z!Z~$&;6W}0%#zI4d?R`-yDnYKP4Hdgy}X4 zKcGpfCkQrhFbVasteB_731vG&=VvZ`Mscc@Ig;8!sO=+t(8KCJiz0@B`?$DIpT@vx z{4lDxgj@dULHp*5UWk{jm?hidVliJA;4A=?I{W$V3y#8z*2MLxAu0U6P@w1e z1%*=ZO@CqG_M#0XV;%W1MI){0n@cnpknF2BoA@MPz0&6UaOtZE5;n{}K?<24@>Vm2 zGMmW!)j_nFp1LDp1;Ma;$R1R3dU`&9jh`C6YhTu4eYSy>Ss`0$M68fj1W>&7s8f-h zRq@9fC}51#-H%?Z@^8||iVpMCZoyy(2=3$1JZoZ9R$TxLiH1D|>TXzWY@bu?X@Bx^ zTp!7iYF~d10M*qtOxocKF{=s@EdmB`FeA98^c#`$I02}G@CKk2`VOvpj&BEvvdff= z>J8b%a?K(`@aRd0IZY3kL-JlPSY z{>RpMrjOphFp`f%J%Ij;gJie{+qsOzB=6ruo)El!zoQl@2 z|Jqv#qIO_W^Vath03>m;wWf!<7Q3mFL+VWwe_*h(Uwi^co!qaGnt?&g(z5g=HWY?4 zu>Ecfmj5~Ph(%=T9iLqP?F(%apR^Wvqiyq+fMJrOyge8=onT2JL%XD=-h9$)JT*jM;At(Mn9S%#o`A(A3 za(>}AhLj4BBM~&facd`JUsxz0L;v7!iS9|EezDk72C?gZ4Gy*ZbJQ`Yg%$hy2VmgU zbKObgje`3|;E+-lB;Jb3TM`K8;6)Kp8%vXZd!0s6froQskBsKRH)HdAp{rB>;g}|mvtXP1cT6L9*4f|jKF*CU#}j}- zEMm8}uch&ScYABhppYc`0}CrFI9teFI;_v2=*Bzx{)BoWlWKLAFNXXHCrI+`=rfLT zyyC1+@O?<2Dh6pD{{ON7pEhUV-qpYSvZc*TcrRG3n{}(Cyc=t3%Dk0h9n#&tuOy`L zO*G^Fq*h@T*Cinmg?0q!Z`a0Ai{^8GCQp2S2I1r%XnhLbQ0;PTI`pd|kt8n8*%y?H zhQel~8_;!EH-5f5WrmM2?GexShlew)Uoika z8Z`};hUE?&aHvRc6Li4=Tn_Ut8f1Ui0(6`MO%q+7dQGQ=VRu`==YL5^fSsd>NrVr7 zbOnI4mV@^|E>AT5n0I&LFd3#}5m#eQtgOYz3_E`Mg!<@4dX6_qd1|=Cy+-!N2LY5UJQvMTi&`D`2tJTf86bw@zwU~O;_cA5@U^Q#LtkuY%le-+(h{5IEe`` zzAC@yd5ng4-&Y@|ED+*byEhI%c{y&?Av_+00(H{;lj(t81i<82mPXN7o83?K1xeJ+ zW@DLU^39{8rm>_nbUr-nygJ<8;ZgbxY#P=Vs)BzqK`!2o=0KM!G2w_0r!`!2E9y0A z&gGKLd{I{+E2F{q6l;tsHHM`k+?aP!X;B{%Ugy8K%2coILFlsx8Yglu+f}npU^#NR zGEInKB`iqd5SmY~dyPtAue@U+A2gY6@-mWzsSQ}fG|uF1G8&fdlI0vsL)DK;k<)lI z8olL+3qf4zk0E50qyT6m)U>FlHZ9rGT`9VZEGm!2AM4@00D}ogudNR&ted?+c!bJV z@6YIgNV3)+X*e@O@P}1_H)u`TsA`=icpzRP0YtP5RNr8mx_kwYEb(^N!Jwm&_@r(| zt#=*{W1oeTKv22GRDlv+WFEJ-3J&Mh#Relk#VjgJ4IBHf1!fUp07!A$n_lLZeK&N5 z+Si$Eq&@q#G}*;TajC<>Ht31-Nv=xRa`m&`&}gcWCeHcT?bv%8Yyr;7G_qrvyR^Mh zG#emUV}~@m4IHaW&|;8TrGcd@C9sir)uh0o2GfI(H8PlMwBYVaI1IV@H@H#UdS&!Bpp7R0@PTq{gEZ?zbIcV`;(BUpc z>OjkI|33183W+GD+k_SD@n<(r>%$V#UUuy12!5;85U=<(^4}jmrbzX!Z__2?Iz{e* zA|&V9V)W8FY#>C}VAM_^*Osb4r}qNA_k9y8<8S|-Q@@1R7K3TBvdFm}AhO~cFProc z<6_5d`G~PZ8YU=Xp2iAZ@kM$T(A^<>Utg8XUi&rL>6EU$rl7?XWAZ1t(BWURp~5eY;aeib!}K zz1?Vmrp^%hj_1r{)pezJ0x9v;v-Ke9M2_M}qPtYW>wIT>?dtakx9A3L>C}xakDXp# z?*G#YgnV4{j|wh;Z&3wZt9{)MXk^6CLm`0SF#L!8H5hE>Vq%_}W?;{Y`rB5M2&wlX zviMS9tmc}`n^`gBeQFO~#8KN5sU8FQf_AWynTj!qU00S=>A=+RD+c=ze@Yyb-d3fu8Tb*FG{ij%_nle1%3N*9elqhW-~a zbe!-lqfAdA>u0(u`#WRhM5rSuu75wR8Ck(8XNH7(!N!AoFjjD(o|@>X!t#``5PXx? zJ+Lk&d{tch1sFN!)(+*?UdL2Cicm={6Scc`ACG^2vg0@ANMOTZz3Ak4fY(Q^E=tDH z=OfqFy_X*goygcUJg|IFP3M|%QBcxm+II5DkJug1(Kr;VPeo;oU3#QH0Y>su?YgKd z`q#Apa;78CM|bW((CyUS6NJWhF%1k9YO(}*@LE{PZ&J%Z;A#pY^q*z_=B<5PHwOl^ zlf`Kd>SA&T^!?%U>~5O5hh;JpT%?1Gw@g|5cs1r5MeQ}>fFu#GRJ zBEOIUR~0UKfNc(c&b(X7bm%+{C4%tbpA_CMDWIB$p~|#Ke9>UGrMls4^rb=#w+-0| zD6{tF%?efR4q3v@`WDS3{zMecVzzPcC6Y;ebu#I>FdHXvXbMxFWJr}?h%~RIfM9^4 z(6VzB41}*wJk<9NcSPw2)_rVzxzs#Zd+BO~AP%GuG&Ng|8iD4K@3N5HkghRM-mLf- zm<-WgJ{R}9V2wzqmYlv5vf=>`Arx9OMT1jKH5=iN7tB$S59R{<7@FY@|qFnXtJ zBQ}0!263v~hI8<1=q1fmAS)@A!}OmZ#amVbip42%a$iw0r5gH3L6QQQ!^e-&t6bcp zJg>!NZEeK|+2j0jk|1tq^fa8b+ff5Z*F5yhA2sk~J?uR=P&zBbu8#`u(7~M8IZt!{^a$P{&Re7PGjLb_Nc6?tm>$$s*~!x&swIGaQxOYMdQWcL+xL zCRdDjNDlaXw;3;EDrFOEi{Cn3{xuI|gnUG?cD!On)>~Y+)(+2hecLKs(0`OsvLTEJ zF79fnzq&PExR3mxN>SC2i1ots8KZviRM+~v*l0u10mivlaU}D{e&T4X*4m+%=GnY< zts~!#{##u^!4r93908D|Z1t}?7gtj|)9a_nKyr=!Ds07zq6kQ9L$Z}J2lb2Ry;*`+ z(QICsXPuKb<8H|NQ{lo2X@Ntj!h<*(&?xq|Rh|`yREN)yp*^NE--3~UAFEv_1}Pwg z)NVlpI-Mv?A#YDKZ$N{l*`muvr8ApcXw<9z%{G!I5t9#D%A{^4p!hPM-ugX1Z{%N} z)H~k&G*77d*oJ}i`%-Gvr@AZ6Z3D*7S)i(GyPW3wwUMn^GVwP|sGIR?+k}A!7RzXd zazaGN=UaQV33xVot*lFKGBl!|T>gvBHdgL74oC$m}d-l_Qx1VIfY9Iwd8oz|E%@ONy^aH#Yhe zVQ%!AbZ7KjxzYd5i{IkdQIbVEi%z$HOQO-4cH>?jd;49FxOch0q2uo#y)m*b#UG|| z#JU7ahd`GjZ5Z!hx-uJYJIIAc?;&!#P3YP;^QX!)8_hoYW~FzrZ>xoyZIVhy6oTg*?d<3Azhm0R1>qQ`ovOLPo5mC83F-1MTy9r2N8t;Xt6I zdKG^-FS}8@4OZT5xk}q4$?P5&V=RtHL-YWl=O|A7Mb7M@QB?hB`!!7BOJKTh|FVtQ zQQ-g**0_WxWRbB{*_QJZH_RG#l_(otkN|3T{geO-=wGKp;n^e46gNKhZ|$EOaYdH1 z%m5;QOPVaK5iAKA{_f`LmS0|ve=3J;v*DN%NbPmin)NU|kQ3+hTohEuaK)X-pH za#FJIuHO~Vdp!k9pk$19b-#@io|%@{TUJ;IRsH*P;j>?PIb>174s}$Fn*T^`h7;xI zuq(h3b>q;P#6e0|-)l!~DQr?YFeHLwHo!4hDNdA<1QHij@ByaA2zgbz+&*HM);`gg zRFsf=_RL%;-$VG{e&3~CKYORIe)>xTiwHQx30Z;F-J$VqTAt(ecZ$GI?ThP z0<4L$Ts{{S;(y(Tj@!cQ-+DJ325>@L_3O9$U6PG5J$|nj{H+UtHqJdSsFO`0Pgd3> ziI2<&rWPD8Ng|tQa*^~7Z=2;Qu(1=tOf%w--C9_m11gOz42r>_(d&U^6i2Fs-uOFR zCrkr7_q5+ySH8PiP7-CFyPCfE-IN#X!>eYS3r)7q-8Yq?DX5^5hE-<)`k$U3qk2qu zV{FtA-{&lM^)6Q;t-sot`9hJ;*gZ{H}*ODM_}@?4~6 zpfYLT@E+Zv$iuVnY|q$O-EX!dz-AEOLtfV#eiBeYFD`dzrbeb^A7y3HQBmn(x$shZ`Q0#p3uxy_VLb|A zQaj*Pq&?B;4f*dzY?$8-;$rn`#d1|)mCoE;>dY?FkkSv);1=9)O3Lw#XB5nq2M7+n zcWhpI8=ot>S%$8}ug?(Dm=;m_sEBoKyG&z)CY}M2UDM*9MHgtbUSDOHiY=?o!cC1W zN^<(b;hf7(j>_UpUUlSuI1WB<;Y&c2)a;x60u9xG#31-kpr33d=lDFv_iVPCO4U6! z!bQ2K5ur$a4kR9c$rT^%7_l&8;pg!w0;6U!6m3MJR2oUugUZ9oa10@I#V=@xaH z?O4@^2|hueopaiT(akG4X^)L;w4Y`7M>0(qKX@P(XX}M)+v`N#zxA>G!Sl3vJV0Ia zYZm{ep?X;n&pNVHUU;I=n6t>;h?RkXUi!m&&zZTkLN693%e+g%`JK1x_Di-`kv1kT zKBN*qiuaD$tM{F8zMe|cgOzEu`+PJNjJwgRIS1W3?w3ZtMJvu``~Elxf1*Gh$eNZP z;+>k51XAmRb@X`H>~X20;DLPpsNJT>8ql9+p;X%1bV{9pmFWCDiLqngKTABn51TYy z?z45r6qAR`RGl_Fm9$J)yfct}!5f=Qlsqi6<9#%D^=6rw{5+V%6fx^2ZX_GN50>IQ zYjO%9RJu7U&ugc@3Mvl~7QsY1pgM9qwjWd>5>XN~Eo>dJiP@?7ey)c|ED+PW!mX;N zW;g(Ku%m09`D^+7;nLmV-vwP-RtAtX`?UVPexF;_l){DsCX|g9sRl};E&q-ct}QWV z=`e)ZqEGmMNc6VFYT%(>TWxX9=in#s#0lT(Zp1?CJXt z1=OkWWemunI=U0Cmox+Gr#@n~Z5V;{{!N)tgMIVsVeA5%e+{jiT2 z8;jnLJ_I!S5fEu%#9Fzv#}U-7hw$wpK3=j7<=h;McGsa>uze2&b8&UsDK+;)iHQMn z5npXuPla?`uPJbc{xwlbC=);=HBDJpUu+F@!Iq1A5OMMFg*3nYAFBQ`sE+Sx!-Y5Q z?h@Rc;2t2jySoR6;O@a)g9LXC4#C~sU4sR8dxziuIq#{ntL6h$P{p1#vsU-rSKs}{ ztA0DT-r&5EI| z4lj%tE!$_c@r9@fMVDb&Sf}zGIb2jt6E6E%w?4Hr%gmzmk=%OSxxD=vYU}BT{()U~C-}sXq=VN)b09LqQc8 z`B=fp!i>6{Bb{+GCBk9)C&T0~@156TdII6Hx4khM7>By#au4Nk%hmlqpQ7)U(ed1Z z1WG4up`TpV5@+i&l()-Gg3!?A)?=tv8up&7@W>OP$c>|h&Evz<8&8gg$%TIA9>yWfTx*0Q*toaMXUJ>md4PjG81uhr&q z3y=5TARJ(1$cyc!FaPBplkQ`wOO57Gjus>&H3Bep*xXX+yo8_)+HEJt-oe;#l>r&? zECo~8vz0;VY+-(x@s#3c_1jA^XI|wpY3a_}MNfX{xt49n@-$(u0@3f?Q2IcJ@0u6A z=Uh8QI{zd>UfBtSi26lWF&Ya8|8%YVJVw-$0GiF(yRd5N9;q=j5khp$$>+!wJ}bpy z!|w>-HNf4)v|^=fv5)7^5DAAcev?G1ka#oCZ)c#;*3n_zkt6El#OoeqLDWovqQO>* z5f&8{5XqHPU#zTWEvZkYs--#r6ZE{HIXI}7PzH#LZ)N4bTji$b!>l)BD0sAW9jQHO28{FXZ=imqv3X$K#;=}1JvgVR!`{M%5T}Nh3$i}s_Q@3qn zNt^+%M5OWD<-AS18pgiFCZ6yl6!B*t1LtlMe2zvPE$nRv%|$f-Lyrso>bA5{R3I@} z4jPk9;`yA#sgA+!$-*m@=`FgP$goUkQDy^)|2krIoTgjiA6=jIu*}YrKi}DB>!CPy z;IvlAtN&Z!L@goQ313agd?iJzK`#JvLL0Ja+E<$4;CC!@IN-j}I9e4K63+U_@H4Vt zn2Lr4pU%qWPb-`S`|sv^Ho1r6YVpevN!%vydZHX{Ey^=DZ~lm%L`1!pmwQsZz11BN zz?G5aP@~;lSDH*+-EoJn?{mh{-xzy;m-nX<>oD87vHmRZI(7G!YvYUIcU56fj`N+C z<{ZgV@@@OOkNX1`-^Jau3HP<0MVvS#31Ew*{`Z^FbIkKprz1$m&P!48XFqlDedm(~ zXgK04u*R!yYhjE{0wrnu0xb0en3FsE8h0N%QmhdFyQKKNwiOCFrnD?fG$ih+dl5LH zgwbrWGsvI#l>ov}(?iGvle77l{Y(kWzYzTpb67awrQw7~#U?4Q!C9~?eJnl`US$>w zIIr2VQX7{Xp2g$J)ZMeg#=j-cRT#-T;FOjab~)-wNA5bEp`;&xF=4L!ME`b7@D7;D zblk_z?BK*dBoE55UGz!uGMbg#Y&<+Yf6>v%Wo5dG5?9a9H4+ks@cVeoh@RvyHT>v2 z%GOUVyQWw4lUflhn)SOaCv*e;7AE}Y!_~;x70H6o8vVC&a`{ViUY;BbI#y6G+_tm9 zXmXMo4Al9OPT*gc(*l9G;8(1F?SYUHHn=OSlbCOcGo)#=+<*CNA`p!TyqjQ`yU)1x z!CB)WwTNbzlB7Tsh~-fC480TSXq=nT6YGx-zRlB-{t4f4qLlPfrIU1d+%qE`X@4QX zfgBgHZWD>-TX#N*m-DD;O=%(zXEhCgvuf)}Wn52V(-y=*KgSB9fMF31m{ROFR4Qcg zP&LbUIJfxe(1#U>RpPs5tz8o z*Ds!5m+qesBF>pR4hZ{Mfrt)awWLol?`j+oHycXsx@NT(zI-zt6jT|jYJ5VTobaih zFv}+r@h~ijUKBy>&4~)DrO@)srg_YRr1~(BJy5u9`<1%*v}T#Cq@Mb>Y@xVo0eSu2 z7LUB*+X%@r{wg}>pc9`Z&x>!T`Gi6!lR488?h>Nv!;urnVjes5^5}0t2rC#DFzu2W zt?S#3J#L(_1r>{kufk-N@{66(No|QE2RHJ?<6CgkMjBMyXOUe*vPW{F5P1la+lr(R`?dT99u*3mjXhL9cCJw`9(eOU!;sz z&Ww&)HX^;_nSD68vI?acsTb_JCd~>h95sl5aHv z&p_ORatCWs=CfJrgK@pLJP(BI7e$hGtRIHEelRt+8C2|QY$f%|@K^WYLC($BC>THb zqU7{z86wRNU3dQ0=5u@6Xr_V|L@Chw&$AyO06n;xTs;dzMjuQQ>g%lnkasK zpVB}cV<0%tMRs`mva?rx*kU5aXc58~VHrG4VUemgom3yqin@}aqm8+DKBp=+Oy|co z5vdg@ZIn`oI)f%xYOCdvqf_@Xn{R@xn8dj2i3nW@8$!cAM{PGC>AP;6Us{S}vau_* zTB%O2Ue#{=;UrUdLFC>t_TF_nP}JbqP%!Mo|3OLPo=-E3>CWu{iW?8+uee{hBs& zD)16ypws>~KXSkobA(o#W7S5fZ>LtSqcgd|a5-5n;@_@PTXm)@xT=s&Q#P^84+Q-9@_tqY_+ZT@LH@-k&Ug5*brjQ0MFdn6G~NRCZZO z7wraG?_pYIrih*%W9chlSNaX9zzwFN_Hz>XOmZwLoA^_5ooLI}45g*AkHm_+$9YSQ zW%9&qBJL9t+pUS>E1#u^j~*~38a z;cevjogsqx)fiK!+D^nd`U~y_$oFZD-ItdeiftAhs}a7&=D=ECVo)3n09bvtNBTDT zwG&awe!@q^?9BBS#|==B(4dt#kA%Y~I#5I%m(f`nUztM6U6)j54eG|J$vRm;upTli z@?WLyI`IuNt)v%`>BvBp{vcq~QMd1kPC3Bd;xKfIfD+UC-OL%8t_44@2G@|1smlq` z5ZGM)TW2VYF-Q_z@NsP-3M*$riR&u4Z0_)Sd+c9-#x4_jxRhzdx?RY6cEpWV4;n~NK%V6q8S z5AM&7Pg9&AELL##G}ktYWI%4&w`6xj52`b+DV2kRo|D}TIa#wr#A2$T;*mvbcJ)0v zWGoDAo;V))2H2h+N-d6`9)c@2N@5HQFb}V9?M!dn?tNhv`ks1ZeqbbYq+PX)N9 z2;b2(gS)@KrCvV8lm9(?g#~C!Nd!}bpb-N`hVOZSsH`Evf=ONo4QEbTRoUFrY-z>3 ziI^c$!80WOM4#Uzqi9!gf5)vdrkY`V68HQ8#V#D+$RH2?{*Xa6r!mvK8xHrfJ(Zbj z!Xp^3Lx&%2+;`IdV=)p-FEHZpNaz)haa@kuZ@I4iu&jO+4LOYUX61z2_6WUMLY#%u z7yO)8uVr&v!$8brmkh|DwzqP7w>*$#6ayxD$AhStNf0VJQeF_e znMeMCawqFcM3{(;I>xBs(&vhx3AC&{-@@xc7PXY$+DU( zHM3fsS%L4I)R=lop?3`GcF+c2x@}m!Y%3;KSn*v&u^%LE5{ijTsqV`b^_socisT@A z$E{%x(~JU)_p#iwm72bSkMB=|^Qx4b)vsoJf=_4y9CP<`y<8RuBW<%kK#BsAcmgHY(rr5W8FM!V%52A@2Us*&L; zLdVT}^T^A&zyCihKq$2LgJ}75vn3iJo8R29m(kH9WtCS|Ap@db-v$KCMU&6-)bwS#4!TT84;2F-1aC1#X)T4-=v zLgI3>v$97Ih4zoWC@FUGo#cWC(_-~%RHJzq+2jcX-@jhqQaN4hGy=US_F*33>{`+` zxsrCPu)Bvsxf5aLY=F;CuLKCdB*JZ=DXFKZ5MS za@R?OcqM?@LTWA)q)O;y?yN)M|J3ht!YMO&_PK`Ti?wcTN*Y;=-ZOy4zfVvQjuUcL zY{D!yA@3ZY@YT52LfQWjh>#$Xjq4n(X87}HN6K7XdzfvxoylZj$KBIwKD(ly;izQY zW@%FS*S<{m50Da7asI%-IC>9NTooMM4$o;)%^?PAS3p9WKq(`O)@8M8`=T{EbS3pw z4SR|d2|SGy9~ub6NgpU>&n=%{P4Ir&u^F|cHFRq%A- zj@i3Aoixa)M*U-99_QZhw*Se=B_eOCNxigPvOx%x*=eIC-EcuIbO{IQdVbp`mb9QE zp<%U*@5&SX(t&MN&)#Gz=ebYtI5bMvTV`xjVpDHNRdByrZjX1rD@b=l8kAM*_pIKeiRsYzJjo;1w|$Qs!KMWe2NX zj2sp6)$lH&`;+TcW@x2alU{mq5GbsAK+4QJj(1K@MtXnBte?2EB)9oT z|H&7qSO7t%rL9%}lgm%a5N_UhRxpN@_mwj*v~>BtIv~`%-K^^E(kQB}!$`}h>Jk-_ zkq;>;jDi8hE=I;I-0k$Y;bs-wE%^B2kAscD?$PE8Q>4s2e}PA^5yrFq)j7joRxXke zX_hpJg`XQIrX%)GX}^x?^IXbOJZw%fl((V;7(EQz>DD@IJm<%WUz81>n-kqHW{xYYf z7Kf3M5m;8K#vO?J`$L;cB(v?Qg;UVzfZ=a3GZA++Te zma7*kR^!)oSOh#K=5;rS(1D8@L$KFVpqH9AY$Fg&U=iyP@a`~|bF{w>1{&dp4(#*1 z4gBLeJ;Zf$cb)KaeAzw=SCmsRKon%G5fjRKD*zM7-TF_*hj5%$_r2B%8$wbNyO=bT zM(O_jRy08jXMT)gm7j5?8pz@g#b?aHr3741UW|3cH#)rf;ei<-%Z3a+Cy(7hFqc=O zFkm1YT?fGlp?7b<92~Rfq`H&IhfDt}xVxPz-HCIBpFI=}{L<|=+tG(5$;0L64VV;<^p2Ws58 zN)9r#a*vjZhmZPmx3Xk#%8E?1;~n3yN5(2JF;nWnFZAZyR38fyn?ciwNU=Z6?A zbzbYvmdqUw?;XLheh((iFUsBHd*Q`7edpP}goECL&c2(*$c7-;iG2yMOt`O38q7K0 znX+*B>zvhAdVhSy6%)dPgWWTttJAn95+LH%=8M2T1Uutj`i9%!us|SGm_{rxFm1@e zP$P!*yH#j-uqwSCnoxoiw?0T@hX+o^st|38>>nT;^*>Bv3L}9peQVgMaLWR-&fIE< zCj_h@pd|F+3`O1!h^r6}d)P?LN8*1;sR=&r`4(YpQ>*37T)fH_H)6y(_E@cO)YI5% z{Nis8!F8jp&ZY9b)-?CvQQkKF@v(_>4wzyXL@c;cqMHOa9|g`Hv8w`2ugwm)1Q3YAKA*K%7@~)k?=enLqaXusKd6oeUy%bK z$KHdozCW9k&c4G;hoI0u_&h9&q5HU>|>ZIst5_BQ@V}^iH zu=`s-swIAjy(ZE?BfhQU8y$Xn*W8>h$o}}QToao@(O!YL@w^$ub{v_I`wAVXgU?d< zxAC?)?#5UnkzM`T=F!m4DlU9JJEoU zt@myiaDKEH90sa~pf9ObFxE+{GaFR9>gFQd&Z|lrDLLv?xXyMgY9@edtFqFQkbnC< zY&GeKNmZ<=s^M5t0r0|#*cOBY8n;I)83sVLWaTHJrL`-rdVe`%Eu#m183)I_)+c)- z5!fJq|E?R{k;QPhM;-1owYOtA&?sDE1$EE9wCF8Gvv$bev8=jL%Vk)p{P~=ORbl4M zqRa=z@2klOR~-N9F+HrF>%61zNQ$7nf**EfXd~6}qG)Ipif~1DWS($$rG-zh*#?qi zR`D2h6k=6YsP!KA{4uo>1VQr|&-@MMXLbnD2PxP`*MBvkaHGo}cK--|uc!87z34^RDl}m>agt9H z&Pg}wx-6{qr^ePeHkanD!G;%x02E~n>@)g+_=*>lNHy_EQ@x9YkuZ8Ga^i= z@7xQ$`W_iOdz*O?#BQU_u zbo<9Me3|e&Y41Vl&Z&^#Wbsp((l-)$gYi_yVR6%)S>yi|D;C$ zi^Fzm*M|h%==<FVGH-dPpS4rPXPDg+-qtw{Q|0OS5fjPweujk(%gl#<)MhQ+?ap)h}%C ziLfr{NJAsg^U!j}fHh+kKW`(9>r`G(RTdI}Gge?4+LT>odJh?u^JW!!%lPEXWO2Ym z4w-Ipw$ku$mC6-h^qHE>GGidMvmMTQv5FE)>Vu_5WsOOlv-}qLUP$~-? z9%_~3u5dt4_P#``9Xvse;zZ8EM#gQr@udxH<=y9l#t4fsK?Q3{*iH81KW4{ zJV4>!&C{w$Nqcnm`r}Yvk5i}{|D*1_FeX}6*ZLyCq4w1b-4yMa)MnSRt$K z>ypx{kLFMyfiECtrFJMKP3ABKatq+}iaJ@a71yw6vLcZ3@Rf;C`J7Qf8S3=}0fMBQ zm2+FUd~Ktiehr}Yek=+WsjG}1OsNh-MWi}}GNT<0jKt9%Sq=$S-7~U1gI~XN+lG&= zH?Y{uD=-9!a*pN{t&GemJTo@IIvYW%i04QX)URttU3W zNE55KyFt3G3xsd)iZHaC-@QJZJ|~ymO9q2UTwjSZeb5As&4Sd`hK%o!0=6IX{>@otTxUpF$%Nww#$9^mg&Yq;#aqQ~Tf8$x zmdb14?8__K9;7&Is&1L@xXTOSJ=FSjOVqtosyxnwC^6RHQ@nW z%^tR+?Sr@$c2Ce?z;webW+IKTK3ofLn@oU@srp zfnel9G}lJ_ko8JinL>>ZV)OR(GX>>dSEKLjT@$rD1-Ffq)bdHUO$djJlBIi#J<=d_)JNJQPo(4Chf2w;RL7*<8vHo3Z zfX;*wi>o*vgdElzn5Wjep!uS|=6h@`A?{%Zk^dVs?hoi({4m)vm2@sXXRf;S-jsxu zE2gj6L0(9DO^qv5OHC@6nh24S6g~`dDxlHbqos#tn^QQm((+2RX2z0}VpYapx4V!b z>3Bcw{AeGaW0_5*lDTxJJITLX)!Dptds)9yKa=Re1?22Yb+7A~$`3arDTJNI0=pkA#k*D@ROGRUIdn% zkp{*cRLs;!!r}@4b_j>i;TZYF8|jQcz)XXkHYWKHhlxrv1$;0o(?m4ZowZE&)G}uK zh5p3hB3=sA_0IpoV)wdG3LC`;4Ml>^6Od!?rMB{3F?wR8hE~p5{sq+ZQ?b=*IrSUb zA9F?^cs}ieY!?(NslOd3Ef9792NjAsH8w15aP#F_b8N6r zitRqXhX9fNz*7hNjg2qY5dLiXH_iMHz7j~=%*GR#Fpahu>L*l5vY zxV&>pJ6+BAfCMx%v~UF>2|%mVV()J8%qc_4=qB6}~y^xS1=(PM#b-8L-B z&r0|E>JEE>hPDH;AM6xo^9Fyv^yaalM#~z0a}wg#CkcopiZovK8gzJ|Bt`f)ATv4m zsz5B;-t-lBSf6+Hp?~tXrM>-N068<5tgI2lxI{=@c(6UN#p>Ak(9qhqqBXB~RA6>> z8~QT*!VCRy8X1D-A@z@~(u;J1ebgb`^O9c<|2m@Z=mL$TuOU;rH&(|GRQ9Z5KMLwA zQmgRP$gtZQ+v1;yiWzP-5Xtw$|HOCY#5ZfBut=SSw`NU5!f5_?_?-h7jWJ{v#fm|H zCI4nlU_!C)L1ZGEZ4&vHWrj^sa;re7%Y%rTikg*;ehCOz)p9$kkTJ#LM*w|{gyu8A z#r$$q5>={NpP31augx!txrJVf2w!=Nh67*XJ5CugxOBV3{SBliL;Y=eI~bsBuWqDl z7;YlX)}=t2cOFff;@owxKKHHGXy%=OX9LFP4l=qO#0ymrq}rtjWTi4>3?%M%b_gBf z(!L^ksQ%UaHy7M4hnZSnkC7(xv&w)O9RQ>*Ikc{j(0|+!I=Df3-=(%}=5~?h8E{vY z+ID}~L&m)0>0~QA0n@flQS;2z))y#|%^cQ{442_zbj(V?pDJEuN2LM+G zT6wWe5$8SkceEzaP>e78PB)?eLxcMo9dICz1>2KzMOa z{u=}d{yRZ(V z%)U3FTv7;opuSS2FI0O;nG`2S-BR|~842KmgRAIAsr8grs-jGyyelEKp5vmzhoxlYSa6SZgjm#PS}Al(9BkGKOuH}HPTbnOih5W*V>W^P00g`ABuV-2 zRShcCHO87~ak&Xr8+i*TjTB;!|EA<vH~_WA}D@@5;iu z`e!w399?$N?}WwwRmEr!e$bi2VIvd84l2gj0+o{;m1+sge?;v*4Dc~9uKXR~Crqoc zXt8xDU&-2wde&go`<&l=bI;8kl2|4HPLF{B=ueh9w6S(F1Ox%1p&B6;L?nO@kV#=+ z6$2dtB9`ozxKHeGTZZiY5lG8?BegCk7ZVaf5i0ubME4uNq3(?lN~ghlEs+gdcooO? zOSy(CCtlNE#9cf=|=gI|c@!3{Fsk!ih(C+sR^{iN|$)`SMkQ3XC-40{X1Kt&3W zF$kk&96{0v8udSbqgp2yegg8$Rt{eW14AH%yHrD^jV$~H3J4RVQHj6p#1~4FR~P>p zGnJ3%$Zu?m=?^Cu08NvZ8Q3<`kSRgu#?`&zhNjqbh(Xma9cb@WuMcCnhFp`l|CyDF%s3@CUAY2W@fwnm}RbIls2DQ?Mv69}qjN?n_wccc}jk zD5s=>xOc?7{wWLCHm#oIyxM#~iR`X@ZgNf6Q_R4`hr=>Ml%4J-UU~F)HryVMHcyQ5 zo&*$VFwcYE8(`%M9aQqg831d@y_+pE?7 z)73=-M6rp=!u&7!7E|-f(Rx9AAIBnxm z;NXE{Mo(wD6n>L}Ck*v#p7ahVq<)cLfJC~V*k5`FW{NRlZn3T+XLlIqJww(!n_Nkv z*)_7Gi`r?vD!;awJnEYcBUX{QH8$UznB!bS{SW-7M4fcKubi$0sDX#f@Thmy3iROST$ zv8<~8GuU^QDaC&s($JZiS6Q|moX?i>xt|?_N^&9{y0)JsxtQ2c8;Q@4FCyK(ZSd|zqq97@Vlo7-3$lkuh}~l zYraRujxk5hX|n#&eT`~mk#~cR}(9oguk>QP-YH}gC3lUiNCHe zLBy|9MEn<{D6}0G%bxx)Rj)S5^|nBfeE5(>xa#WKMmYxuC!!LF`T}fUn~w~t2P+Mp zm^OSSo~LJK@<<|8nw;w>UAYtV*2h1{{0J-T!>MGO{k2A%6=*ZpAcsJ3n* zQf7-u!=7x8U0!KXajAR8gmIRlB@X3-{@YR2%l>_*?jxYl z z$x%&w4b(*HoD6F`Fa-vEHnyf4PUZq>w<~U4ITYj-4yx*viOFxvl1cf}VMjvCfe2U_ z7VJn>gB>@_LOKXXTL-mD(M_#E3_@*qHP>f=Ey z_|T04j7iAhaPd|Q`^bGF`boAWxjO{%mWs>D;G-k)hav%c8DyY-bK2qkshwCpt`h-o z$J9z{GoS7EvwEVE=THmMcd%ofN`Jbv{)UA=sc@``RTCJE<<)xfxg zL`e|G(=$^mwk=!u%;Z`?e-=$bd{s&Q?ch1v8E=s7tav$z@ysXHGHO`O2Zwi{WO&+1 z`#ae{@^@B}#49W^7zdtq6SV(wOH=UQkMi>vjewASakcF;j2|qB+-s{ObOI=H;n_o=0& zJYVx`-34Y!s;fV{WCQi<6rZu?vZP|hEn0j`VhG|$vMe=EIvI@x;{dgROfB1<9wuUj5lG3N@#wY`DXf{D83F?3ZNu0X9E z7gN#v+xD2{UIODcUDE^{E!eNj$(U>nJIyIbR}vj-{xI(@;6OE=Q@06)plUVjZB?QF zM-%?63QWyzDx8x+`drt!(iUC0|wA66h%=iQV3Lc{18;~K;LU14JAVMoH={dWORF4)jz56bj&y|+4P0kXizqJXCtxfr~_G?Qi;RO zvt`6eXqhs9n?x1F&q_2FMEX;82QSB-tg`>L1)IoH-7*>&D!<_FG7OPLcrSRAK`Exk z@D*3&?905$3>lDPFlQcE0LR;@uu=i?NCy&*riXg=wQoIOSqn4(0q(wTZ#URSZ2&F_ z`-Oyq=xv&9=FVoW>s!Ntq`xpgto|<*ICPjVE(fk+5P$sl(+yID1-~{XtLGo~M%tpz zgla0YHAEl~2}tUzu&VjJOff^GcaK3V3#!^5;HbAcp+YxepYJsG-Bu3Dc-gkHEo~kz zqaX#qJ&KW_L)sb!1i?`n%xI@AE9kB0LiA~s2fJ2Jh&4eJ-}{?gt4Xw7_w5Xj`$%Bx zHv(>^q_5x}5P?wN=>U6aqeqV{fMe7=C`uyy)IIdTy7oA$Q$Seqttt2o2?B^ki-ru2 zcv)ZXUN8N!krz7fB2CE#0iBxF`^Mr&C>1b5d15PLQk8Pw|JMD_UrSqN<4qi!tmwU8 z*o>JCKU4uJpeJ7PXg?qZVDBh4Q!U*pEz6a-u$!7F1*ofE)ddq!qD>6egp$vA) z)f8pyRWrh;M0W#|W7c|b8^}B#nqkhhJwuPKf%C?4&ilNEgb?|p75qm_?=2w~gY1v? zP7Ktv;DgzPrsc%?GoU>GQJR(a*!EcSn#5j<{5oN9uV<0OpWdcu8%>UM zJS2OunP$a@mCUiQu#gJ**ijf1Pl;EeV+IO;br}OR?ZuYKeNaBe=hQR4e?gn)FeGur z0NhWAH9T^xMDbv5juH7T0gl)xs2mOelhV?L9Q8IiaM(m-XyVgc%0}N>!M99+nPp~K zZohF^@^-4~S1Dy?4G~L9(T+oRxu@7G?ZM9OtrG+g$Ae55Kv>i#R#ayKHt!p+OF$hi z(`~bA>EHEZ&CxMZD6C;<(UjtNVD4-x&nGZl%Mu(+cL}IKH=w*85yFy}m$k$2ca!B; zO*kif>|jueSTV?5>)Oj}-b!j!vk_p4{t#6otw-k%*2DQ!4eg57^Ku#s+X3b)_5rfB zSY6t+lCdBhsnY`1ElVitaN4;o0GvIsukLkZ4$0k&q81{rp7Rx7sklVYmbO=__Ed^m z7E>`E*jFR=hG=?=e znW3*aaq*4>uo`7=e>#r4d5q?Ilcx&?k^{ql9Wa*|>G}4@szcX<{Z>vLOn?EHJyw;! ziQsXHmi_x1Qw7}xe;2Y`zxSD7>}=G`eZxsuM}(e`{_7ifmrU5*7GLFN z&wi@Dc^ahpoew^HR)sGnYibWTug;XN>#GS6_*MKpRkz{$Pg?YbqnQZQ>2xpdp;~cp zYjgmYDhVnQ8w8^$&(Ufmx*I738l?gfPESOlc0Vt1{YmzwD|DbrdGRw^*uu$wtSBEdQv=m+rUGr;gvaUJcoGX$$cE^=q8_)oXx3o%D zt2D=`v~GaKW2{rCs>sYVMvKmn0S!B1ijZPggd`3jdl-!3cxv2k16plwgu9DRLwzIJ zmJhX+5TGprgT?h8D&kicV7Cr;(57%VejL{%)y#s!y)^2KT}H=Hfc17mc6N*21~ zLUxaIYH|LO-yY?6MxFG_27aK8*zs?tz2AFV?_d4OS3Q?`tA{m$Fyi{`Kb6Ot!%(3?(c5hDAVHF z+cR}G0BX2{`@N1v{_p0)I)xq|qaKK+jH%^N!ZHS`prr5J&8yTEjx_1mr6J6;?wxNB zieEOpqUko1FNXj`spbT0C6V=5~*!Wm;_Q0 ze;IIKA!nPR+a0~ZXJ=<4Y?bi9DlAGTr$~YQC@tvUtn2^bp}{YVj;1ni-{fEq6J+VI z>#6RpKQ9B8{h8=&p0@RhKQdI0S7Zm)4N;~rHvL!>ZpqNQ1*A*?P&B(@v~_9+;lsE# zNxBnAZ~XeI5R^GcX*rz__ z>MIkDm_E`Zc8=$(zGe9>nt=%cY1nYObtCt@u zqM-LA^&w4wNHq=@!u|~c@>IH@Jrx3J1b*9&v`aiUz=nzg|G={w#mf6Ov0xC8Fop}Z zI)d7u*_x*AJ^3|jUqptf4ElCBiQZdgLz(Zux)6Qu%flRRZ$ELre(#2VXqyPa6(oW( z4B-3H`$)_&e5?0W#?-MpLP^KG`*XUq@=V!%ypT*iL#hmvag~9~BZnT7sfM{=RrPJral7g&R(IX{9mWeR>2-|X{Knk+*K&?V| zOImgYKdT&zI$-}%WIxVN!wzHn3eMs3_dhKcJlq9a)S|dHd%8I7#nhR&CIPhr<7ap(4%Ou!4kY^Xpa6U}AOPf5e{DYQt&E zEFeAx0?NgQ?wFh30O6a1GTq#ImA6k1ypxEf(#1swsL}9)N|;D(SqrBa=RWTeg_}Xn zh54X=o7^Ofh)W&2g0vE?B@1g#t3fjzAC;Ik-oaKhO>Ut2sc3~l54#1>dJ^wV=<%>Y zavJcQlPIq?kYDmP6!aKQ&tGEkPd!5BEhSJ08PCL&K%>LjqO~FU-DGmeTbQ)qpNDxe zQ!O%9hzu-hgVg_+{w(LhH$shH-X0ZBPD85KM*Dxo=vZ^_j_)45CalO&HVtUKEbve) zpkQ_&0Q!J7!DlZOtxbINJ}un%CdGA{fq<5bG+fqCaFm-8FS<;UTwqK&7YZ6MNA&u4 z>8Nb`^R5v;-LNX`4@}ltGDDP(S`1Hl#aAtJ2h%b?gonJow9NZa@IGAf`!Xuu*-yLQ z@%*X*!3p@#a9n`61#b&DCaJ{=7e|X$xYyGx;W2;R_U=K7|02IOHrIoDgnECh9ANF4X z(%o=&p5WtkHu}3{nFOK0wq;dxiY=(?GE2E6R8Qdcx~HT0x@;C91_o%RRjrTc6>q0b zy58eF&BsMDva5lSgH!A%ZF*J`eCUQ3iJMEcr?YYygG|rgF;aY5r4nBlIs0^*#BoS| zJkKKL6fsA(gz(HS(b;*cGT%+n3-wM7Q`A69(YF%d4nq-!f97U2>fcj!61o^VEtCNe zby2jlPc8r~NLe&dYyrmjA9pz}CpR;h7YaQ$zYw_FTz_sJ3<((4!>n`FwYF-HpT#t7 zve!rdVgag-Q(+mw(qTvgIS%`qJ#FzE7$>%)igiHI=)bVF&T{tQm?NdIRb=iRA z>OPisa5Nj=ywSv9mh9tZqJ@ioQL7A_*|?S0Q9n?ShOyQk1-hH{mEwHUrM!pp{L0SR z5-ki?QV~+8u)?2GKeXjvy4{!j<`xzK55dL^RV+r8>Pz%=PM(z}gE7f2dgD-5kU`7z zFPbCD==(-mB>3a&k9})B3^Jsu1J{${XTI<5)hJ}F5AT_F?GLG_H1L}3OMzW~X}Mi$ zVccE~(FtMJ?wDP87b6lS+Ih%q9%P%LfP1Oh#U#CX+ z@@CHaPj{k+_9pX(!C-P{o%wpo)!j@atCq+HlgAk_PN3j#=SYQl`O5}b7! z_RFyUi+11XX^K7h2Nl>*87qAZ={rJVRI0+3vTK?65ITlOI2 zAJ7T`sNWYct&WD4O(IZ;up?U#qHV07eGxwzVgc+pC@y z{*}SNl?iBL8xBhMCkd;GqoeV|Rr8QoK z8udKt0-!S?5zsKVy+?=$Ek=L+34y+kmD^1wXAKrn0-Z|fxG|11)_E2vCv*m)WB#?o znfnElPelMNg&ZvOdyg_60<9BhmOUqB?+$v+Qa!%j*o)XtB2FpC>DW_MJ-cWrtYLv1 zy#>3=gx6%w`xll}B*4+-`|bKW7HvZF%OuYACN2T2xb#k(?~_+HfrfN=2~DSX2f7*$tFv0n|K!My0ibIOd{e z`7@7&mDXM{S!;BXfok`}*h+#;&MLl1^W_x_(}`T8y&H_>T4R4e=O;M2ow0B@0_}|6 zi~r)Io{Ak4z)a?2!yP$0f5u!{UAep}f9@s+pDbC8L)S0Q4iz{z6$72*7E$pTFZKkZ zh6e&}rL(o7Wxv{UhrlvpJxo(4EGjpnGexhtTbw4X-ri(;;`(o;evKq1vM@D&R$k>n z(4(ya$edKyQb-ilpjTyk%FuELwYc+R*E~X#D7M;KNmi=elQ>*w^gdjSNrBs{D(^POjf2ohKth`)NsXGB4jD=SnOgv~*u7oGO;LJ`a8sPC~DFVjJ1e~K) z3ret!p`CLFjCF?_NJo`nhx@`yC8K4Xoj$WGZq@(=H#yxlL1r^;v?ed#Mxby#HLnh7WT*z$>QY zVFv}mr{&8;PG7nUWMKdKPp+Mgs`QtEN@sFK#r$1cU-szas4VG{qrDl9J(W8}A940+ zob|==1a5z#j?RhQxp`NdtK;(gzpz?8hvDEL??RqXLg#v4t6u`7%%8M7eCSH&WI_;< zf!bu+Gy(R}ZGJr!%0B$j7z7^-VsbVG$l*R2MNC{}kNvnb((9wbmG>J-TnnkjjLf)C z47Gq$(E0);FF24yvld}Wq_F{B2JB=XOVJGuWcp~)mu7mou zATD6yQ7ZZYw2k#urfJDM`PSd&+Co{oo9RMAO=~j?w|}6?C}_x7?d#jA4hm>Fpt+7MGOkQ%z7(7 zHee6BORSfa%g6Y+d3%UI@!xpzy&Z-xUi8RZ|I#E#$y5*V(q#aeg8u!99(eBf#m|XZ zHPosL)YiBiESW!?W=Q;3S68?mHi!6k7H>j6}mQ4 z>jm(niPGrlFH{SBEE1AFwLiMS^01KbFUm%qbV6K>s! zOrkJ6%q;r(&WEqbFaQT{AY<_s89T9PT1AVXpVe%vpX&s^jI!@6(0G-!s`~X~Yrms7 zyu5~9Yrc%JmMg~*C6!5d(vW|%0|Lm8GYvEJ^48bzk``OnMGWi7zBEyCE7ne%;!MbR zDzFh%vE29;HFEmbk><+l|Bt4x4vMOM|6Wo`KyvBsmXHqV?oR3Ml5S~~ZjkQoZX~2T zq(eF+mv|4)_xJ7$Gmd}mIeXvN^+_4+E$Wh#n=`)7x@Gnwg}Q?E`o*v)4J6D{!*y3^ zH2y>%J?~YHuA5hQGdI9nfHj4Zlf+q{-tsp4TM+^p-?u^X@bqu+r_JOm*bH$&?4HM3 zIsqseNlBY`z+fM_QFzPSusCp=Fv3v*b!*Ap;&IEIcL$RLdSDTt^!6RiSjhxhdd&FKc5OKlGp;Gg z%?AiG%&%+!DpEyi1=!x#$}hV%PQw5cSyHmbT%E+r`;oP#as$gr{F;$FRwg|Y*Dhz{lC5P+mcsLr zg$z*pA?1?pPWb(;zP|5`3#i_p{NAHuM2Af7<3t^2vNn>knbD|(aOC$+^#NlMZEo|z z1RCn85cq{pc5}zAv|%rKq63RTe1RR{g6E(f z@N)=Tno@xSg29aY*neDcPp@d*GuHFRBCM8|mvLZMVWnhPEdpo`(2vn6_qcv=O%|D& z`08;ix_SZFLO)^Q(olzV6asCRT?V>8EJHeQ#{eO-xj9DJFD*GOwcff4^0Q=2T4b6M zS~IrWfe>uMlyzuwfSZB|t)ZodPu~hG`Qh6*99^e4efd(rs7tA`R%cC@ax+?;guDub zI9#9H(dHz?#meMVw{P(`G<1^mw&tg1E}_M~^F+NrH~hUlH%mR`uL=j)C=qup2l&~T z_a%h@ytV!1pAaxW?S+Hvr;Wusi@L7?z?jEwK)Q1WsUL;n$E6fwf)b$% zMDIZcNfb>FjyPNzNU`b=Ag4sesJ$~U_ipviZV#X@Z@DgR27#({ zoAF3qdy-}fDt)#!D5D&@d|^=pc>9~qj(FV>LBl(2+ng$sS@bXFDsE_jOo z<`4fq)Wty15qlv}T42p#RaA?@LxOSR4cqgYY- zr?;gPXi#BizXs7>V8H>4D<@~vkobxW(6Gi|Y;r));y_C{v@A0m7e>z~4@|h-YiMgFEaHnLWjsj>%t<}8_!+o-$-Fn-q(3PJuApghfoNKR_d6Fm6 zQd8i9NWkG@hyvhjB9Kv|_Rgvd-+6(B8V}x6Pb{P+ubyvYrS|`P)S!!Lw94MDZi~wl zP@xd^z@&U&5mYP;J$-Ut?Y-CF9R!uq))GyKD?Jg?*jiY4=sv~9Tt8ytW^X9qh#XCe zQ#&`EE|y4mr*rXxh0_S)LG5&8eEO1S@BLw3ovhR$h0~Lma5A{41grg`h!Bo^8eCKy z>b1>HOrtQK_fsSXc7Z?@unV5>v}pcBRS0F3gh~!^PAO`P&}Kh_9+n&eOe?`7R)J3u zLo=)8vwDAz<3E!j2Z@{>{Q93oT{^cNhC}eG&`U|}DhRoGBN#Pl&x?#K)`=6Uj7YLE zsnfbZ1qoRJb5IqUVC1Ge3ad3YCDXchdgsbQN}z9Rl5EKC-7r*U#V-OD0g^kREJwNA zoB?o4?V%J+O9Msiq6IolhC|L&MYZ7Q$Pq% z#NC)4KqKFGL$?f@J2QRdiwBG1r0$Y;m2`Vx*Po8&8Ws@%R#6(mYc?sMUXw5+_br$G z7fFSN%@BQu3g|Zt!Z0q6IIsfa zYaRz!5|(6N+rpo|1lA0!Jh*Ux%pVWGDN=^?wHpr{J{d<*1uSq-J zYddkvnJ}Ob^L61GPS9Sw_m|XZNhDkYzV>UJKMJ*Nc?mc1-rg)5Zs%6L4RUwHt}4Ir-Kw4nwqT1{r7G@?B_YQ zuRGy_Ixh}npvK>d%0zgTd}sgM?5}IJ+c0U2>jd&mK8)om*%e=Pt= zc(%ym3-=c6?@yS&HdGY^)4q3Wf6jcGPt8@0Qm0h%!rZ*NLd;1Tr4rQ8Nz0p*qtq2m6kNqa$UKC^Z6E(8-4GXJEXI3`zL(6W?Qlcc)kunilM z6|0YO-UgL`P0L|`r*k}seyq@+WdCmYfo>x32!G|<*`9zAH)ft1ZMj+NQKU@Av%iQ| znFZb1>tkQsPR<^Zl0{%rw^y%1U}mq3!anq0V-6LB$o;V-TLn0v)AXneF*>?TH}_qz zkKm%|u7g`6#~npKc8Xn99jE(|AM4qjiKizRG_|G_@$)BJsvI@&gDZSyBxI5sQDL<- z+FMCq#Y*bAR>%oH;EWXrD^gOm2ZI{N@bYG%WPe zj(h*Ynnuk_wM0NsWSsN2fB~B#4J2HczAqr0n8~tS(94lCa#JO;(GI5HnqxV^c^3^; zI1h;M-P1owPB0#msM~zVXCL#OXIV7!rpdTh9Q2xY|99wSQ!|$R`_0*ddDqM$cURf> zFBzIF^J1W}t&Q9g@(Y~GhJVm?SlBtidhljx?Vh}v<`~!~KYWSTbj%;RygL`7j zC}$e9mIt0mng6@+H{jFAIw((yDAA7sXM@5l;c&)!`Pbiu1uW{={Au*LqF`o2l|aY> zO8=f<1-FLZWB&*Vp^S_Y#UNJ2`#I+|b7Zf|LRq*zW0-ul$Tl6JFiFO?k;~CY(sA?B z$a(h7feNsd^0-tX2%Z|dA|>0Oex+hw3o>BnHeapxS8|r|h&~2|YOPY2;tJir7FG%Z6os$cjW zS%Z?AQMW3);dcf|hGTYe{6Fpd;4JQ0aEJBIAs&bxBLK+qY75SfLW@)40nnF(UCs<< z4{Qm~Iy?vVKZW1=3~$}`JidKKHBuzk7IH0t4l;fjdiBH;((Z({SDZH$LL=^lJ4nAg zEoT79_3SCA38kd*MD*!hL-_Wg$^DBro}z5FO@ytcMZKOwWTOgjz5~fqpdK)FPZ%Nq zCgi>=gS}`S_QhuLd&JO+9TYvU8uUHb=zmvMKhfuS0)8!$FFDnI-^Tk@aO3XJTNff4 z;zI_qrP>SqPm@T+qa(7}ZPEvB+49ra8E0&7)XjXdt^$1t43nAT;M!1H!1Y{h`=DbA z)P%i#NX2FI-!sW`@q69%U!DgzD=63`a55o}q&s7VNffLC{YBxOlNSR+s&TrP!Dom* z^rKEoD<31TaXK(w|NPOeEQ4j@wETUVVQ2H#SeTq`8(`><``}aJaxPGg%nWQ4rl#^)Ym^m?o(K z)q+lNj`Vz*z|S6~79>}bIP6?KjP;vwEU0LH2ljVaiXo{tF7x5Q{%OX&=aQ-DWSe~h z$8wFONO9hb$8@(|&~M)i49H`^ixyooyx%Uw^x1WcQsBN;?U+79!qxGi!XP)VGT~`*{Ql|d zB=Go&xG!3j;{HJqQuC;Z#ke<|-)M%F^*Xxst@)E;>~FUlq)Dt;UE$-Hc^PC}e(hh4 z%++XNKb=Ws(!kgUfcI8hfGQiMF#A+ieYzGxiLyNZ{rxtZeP4)&BO$70Y`3z2HRw{E;q>>b$kGbR z{Z2mU!m!2JiifM>PIkio0EPlwIF!FN$7fhaf7y@r z$gD(~(Xpb0oY*$wc-w~43`FY+++aom|K74>{6;y_%U8vxR_V*p2hGX2=QJJmNTRyj|C5mKhw2cAd&m!n- zrz2da$7oeHkK6J49Cq_e{8yLJ5t{A91O+isUwob!Gs?8#)8x5gi>V0xoQ{~PL57`S zM!hM-0GbG0<0!L%DD)0VRO{mucpUln!zE{3d*9}foQiSe7p{%ya$+ZMwIi$54BlobT)83;i%QoEYJ0Xm7`u zJ;)|=^X1nxK1;$hLaZtY4DjNRGkkKVJiclur_e3t>@>haUWEg3d230I;$#Jd(_RM$P;i1M{iTw zXwjhuL{CPrP!XDhOzeY{|L4v`-^B+m%+39I*{$n`O+3e-!v278k1G`3>1!#Eg>xGY zi7#A|=qL&b$gS|;j+*sox5?ySGtqlU(T^6$LG&1YR2MUx8$qDpFKLKlYVR-0v|BF= zI#_Bz!WhZ$Eeg*$$=Jn(T`&7tdXkPk;|nX;$cPq}9EF}f#s~}#d_j4%ZpfB7Xta}0 zY5E4Z%qMN&>VI7UvMeoNg=&WEoVmYlbULC8&Dc@X%Kj(p-?p{@OFM>3yBBPHblx;I)lFqnn<|3@&O&0e|H&*tWcA%MWSP9_Oq}PdZuX!SXlDEGq<)` zliRZaUB!kfYQCZdB=1n$oVNe56!;4GlY*!)T~Yaa3Cy&`@|9DgC19yNjWdgABZ32r z4NZb}3+07#F{KeVWfi+%g}lcCefuG-nVd}`xn$eYUzG)(`Y6Iks2Yj6Jwkm4c@#4{hEd)d9Q%>3G4c&b z(PdTsr(fDcOZ^z1Au3sGiomt=Xk11l8#S?E2rmV)oXSwDPpJ=Q<2qI>i`OV^1Ne=w zc>8?UMOY{R#d|iG;eI~bbe2WfVx>s?=k{=)W|PkKWeCH!pnB|>d4wz6T217rF_}5y zg+{gety40vuAvGWA(fR&qIZQuI*h(Fsy;ATLU#dcNTC{8Ez-T%3co1z^LLn@0Wh&h zWpcEFDmfug{KpC&y7!E}&$Uw1)Q#UC!wkjb_cvAV0fl z3B#QZ#f_@QQBelQUG0W{8g;KqBTs{CNdMSgXjON*cXR}tQc$3~jatF3(-*#ld<*?g zTn-zs&9Q6cGW4hQ-MX>Oev_Do^^>kU>vuYsv21BaQ)`_>S9KnoDjSb!|MF08#on=k#n+Awon1S8_V2aV3=G z-%n^yo~uNlfOj5Mz0Hd4I2_{nkGHxXe0JW8-O6f?6asP%11O(uTm{m`9Js^AfYajy z1=nJ4xmt4d)_{?blP*-!1fJ8z%mOi|Fks@^!>0GyGOYNtunmO8J!bU#iofqX(dj1dFF%Z3m(H4}Hv4MOJXCdW zqA+&ts&jCGSs_R8NxUD8Y%@QbiL)~7Y_|wMozSe{^+5H$~9Bafw z8w^D_289I|ybMb_wU{kJ<0!K=Tm(pLDV{=2bnxw#*1KU0a^gHxKvN5>MCX3@=1*%v zwtB(qwSEczy4bcAvl)C%3kX4qpz6*8mcx#HnHB-{89`MK^y=qQp1+NDzboNNCry#G zs1SI3R0lC$TF5Cmv7L#^_ZT#68K#4v1pOVbOiawBbEIx!v5kMKywaP4$J4ZvATXn1 zmFPk?^kWy7f;pyNu=`ox5T0I71-Bj#b0324r{ii?Lc$@MZ?A!xcb#ETvEArlmiPUS4$V{1Y^yU$qw#`y!}7*ALiNrC>8VT35*}GUU%ZP>ckU_*`tcE~ zBN}K2jR-exPUga=v;06)4m2^!czBAXJ*`8=jZS7?p^ZR}mv+uJjzC;23cix|2Q_ua zquxBYtP2!sj2>h@-r80NO!u4ffXRbk8_S%1n^8M!0s>vuU1_!HbUA7{O)`i2nQAbM z@Sxft-hODK_A2XnG*3FhX1X&B*sG33Y+1=^s7!>$-k_5s@E#k}LO)7#s4_3<_mW_{7i-VX|pk8|j8Tg-{P zy4rc@nsY=KYTtRjzeE$tZea~5L{5Q8{c@P9lQJU~5Y`W3EM>}{$H@|lV)buZ$s_t% zA@eN!)KyV|LXKa^xxA~X0sDnRLLH*XCPIvhM(8N32l_`2NVY1D*cVxW4kxPBCt#Kz z`#R~vsq1SDB`^Ga=w1P@B0A}QBHCfNTd3ulY;fm~w?En=u%&qv@VRB$ZfjOx%*Z#< z{a|*7P?j>#*bMkL=rPEe$-3#ykemN}iTd!MvSn6&>MQ|`Jdis>9>$-jIMxz{S%>;# z-m$7o)Rl#4%9yQOGNOcTBHSce$7clk2MfPP738m4EL)%dgBY(}%4(z|?cFlRSkb4h zLp%s--6QF_=Sl5wt^27%!kIttFKO{N<5WSQ5-rv%I~P}MZLQPb4kJ$u4-*&d@>Q~K zAF#lYsQU&neRd|#n6kFBYt&)mHb6HF}paEbTI#o%8P5MuJp);64h2FO#@R;=d!1(%Shu$A3m~Sik=|! zB1}r-mM{*D58_&D7B@aP!E-cLmZYiD?Z8+ihOquYn-d-hZ(4um^F+3KAB71Frbb{K z^J_|meS9OM`WY?AoayUJf4~3miuX%UiBfKri?I4W%wmjrNvUo;)oj1`~L#9HQXD*vijF}}xk z!-g;AJ4b`d(emmq!?8qQVqy`GJ2dP&n4ZQ(15x@Y0Pz!sd%ZufKnQ)17HuSS1{raH z9al=1Yoph@{e>pB%~O2V%}lPkMsz$GZ1XP<>+1KJIPgLRvsYGhNENiXoRoX=g${6~ zea_4-?oGa3lzV&5?>G(PJKk!WKV9776M9rYrDWrVJpZI$W>@Z*w}$5l0G4vto&@(O z99L>uu6AIZOrULl^w>m`4i{$IMRJ?aSHS+pt@-Gt8n@qnmD z3~G~Vey0&je_6*({R~UAZX}U6vLST5USnVZO?` z&WlQv<#qXVW~7HQf9A)^_+rhduMFIhAo78J)RRht{u^2c$^fbv3@G5p;Df-^K_6f2*K<0j_ zMjlju^Xz6+tynKkfd}x81w9V(t_X4>B+nlu8k7W*l593%Uhp@gx*B*4UIpdqC{qdDX z{VloCz*pob$rws<01rc~KgmFpCG@%u zNJ?n#cpr{fm>I;P->$5Yuc9%c(*{OB&4)se9GDcNp<^hN`ZqR4M(ZyrG3MAG`RsXp zN=j?(d?Ii;$Nl&R!FY0GWqWw+H203Dv&OuJ)ab{r{Z^aH(R+81_x$y~ewkQjIvp65 zF-@?@MuU$Zp?`!|Z0y$H)ffKrZoPwBG9IX&JP=Kd8W56fq2+S$rK>!)R{pv#1C@yc z0PtNQq{qH5g8u}opP%G@ak7cvnw7#v`GozX601fhQJF6uI}ZBo<pm6%5s*c=vrX_9T~Vl1*W^R3{;hdEjm=^TU+RQXv$vW}qb;T;3+%^OuaB{~DWT z|4E>b_UwnOadguTA(fWQjiA=R^T%6ykAM6i=A=y$)0n+JHxl4g~L~>=l>I# zlXHVMxd-p@VkF`oTdW&(sCZO|hrqC+W_StR3OVJJ$NiRbUTbrwpX`3yIex`lJ)dMj z{Q}7lk;^d;@Hd9S$Df1&WjbzuKp|NU-DB`DT2F@`w2|mbb1MM`=;_%-qUKX)w}gyi^!qLD-XF(aOUs$#X#;+yzxV_0bFkbYr#H}0SQ zg~6srTgegxZ7FweTjy-7^cL$o;7ph;l9!DFDI~+B?!@1{i`_B$n5K69wxb>fn?v8r z9jAf2%w|?TXI|gw)-w;EUpV&hYxnRAJkY ze^jm2_i2e(vWPC5NVh2?qw_T0f)89oQ+eTn_z`J&-}Ha}p51~StSm1yB$v)EazQDz`zyHSQivqrGrD6e`8yK`fIF@13cq9ETyd&P#in46 zc02L=wrC|JAAC06lo^6Q=Ef5<(~-V2a5MrUlFeJsDeAhjiPvs3OVG022IVr2tG2=c zOwe9y=7rqeKI_LkxfkZQasOez+|p+6Rp8M1+N|@UNX*TK(ztI495p&>srMzD85Y@x zD!$p~Qf8g&%=w0^e+q9H%AYjAIcm=EkLkxRD^A1EscJAV0VB3DOGPggA`!=MfCACE z;ATuu?qwpqFnjgR+r@t1cCr};V`I&{Uv1~fqpCZ_F zOrkq2R}}8rl@6oCfxXx-?OP)nRa99d5+NR*^ir}N?GGUF2KYRpn=NxAE&xmw74AgO zFeI$zZuUohlfrTnM8@Wjl%DA?Z-mgYpimWba>6L|kGxP@^TVgI5A#yQ z>HChmQlKAFSinPS;(9nA!CqwS@0dLp8WHV9UHR*_1>mAzx-F854)E~agE(LUJ}1V| z?+e0_*80E4`UTy#q-2knKTg=Yl!~_{<;C{B5V<@IoAG;Nbg*M;!aq&Ucr`6s(OJM8 z4V;jb!%Z7IPWgo+VMbHmr~X_kAm@9`vj)6|a=&XAhCTQ7LXQ(P8|r7_Fmg3k8NrWD zg}P_gX@I^!MKrd6edscX;h9bt@-N1nEmj-`(Cisrp%+rgXl??HiWH9C)@R=_qt{xxovIuvN(p3z@(wa{cLk@+)sD! zct{Z)CUGf5!XHauu`X;a5H*z!!)@kL3`O(sKU0d}Zr6V(`CXQqj={ra0 z-VgJya$Gj$d6BNY%Vzf{n{xBl<~e^g)-5=Ssvj{CqJOV`f=X@R$ikxt`?S^!2VikV zDYWn2@N6q>_Pl=JiPDVn!HbZ z8bD3wn=7~dhiym$=@Rf52`G+eb=0?*HC`W{M-Yu_)or9G-@OkNy|lY=Yhfmkjm zHSFj|bQD{m&d+0lc@tl}#YoSQ@jr2VZ$uFtcDWyQ`5XBonwHr$+qHGRv1U_*&d}*| ztm_*O5_2@V!^S0uN3xop$9Cm`(cNYNc6f>D$78p4x{G_T+h`>ZUr7)4JbaL7fls{` zKqWHAxI#WY%V4|moDQ0Rg>ESv!7-$Dc_ljDnLzY{1kWCiW?=IJ2f|tIee%ug-eAG5 z!{HPe3S5$=Zgh0C(Qs_{Rv$78NL*Qq?HGRT-wG2bfRm4;ua5ndNMc3GT2NMs=3R|v z9rrR@faPcHW3d_^a=!V2rWL;|%xxLi=H$B4Ky>y8(Kfrq=^uds{L7z17%{?)gV@V= zsz3lj%0qASP7Vkejd|GRV6NqzVDD!Pg zxv@;&g#(4LlM|X_VcQyCxi+Vj{eLZh$C>z-%z42en0FN12V-XUTXzp|ihvU6PkWft z^!pp6n<=%LTlo}ApyWYuKvCSxsZP)Z!o;bSGq42!lyL>bNw&>{Tx~jJ=-_RO69Bl6 zGG3ShrYOO)ZW93ds;)% z`p2Q#`vaP6{Nd5nu#hj&U6mA`FFY`QPR;LMy*_-CWj1yk_Ghc}S4`jfA9rJwBvSLM z`=N^2wc3&P>>7ZmRlF;hlWH?N?ofEyd>?UVs%(H8CycOxO!Td%r!5zJGuHi5%_^5c zy<8OfgncnROfszL?_2&1+_e|4=*}^%7sZMOq>b6~-1kB{`z3p@@^w1_{PfKAus{{M z%0prk1CeBSnrqwEuK(3(t984|amq5G2f+J!XEWxWqFPd0N=K(LX6O)o2Pb6R$CrZ> zDo!J@OS82*6pvdlt($^bd$fnkt7CWOHHY1@X4juZeJuW}h>`!OFnDtvZCZ-`yh%sK zbv5qq)TLZ)61XLM`{M#$8G^I*AYeknoWMLG_7ybj6C}gk%w);Ve*gmIF-Ht*Pp=1M zJML}c2o(7_W1Ih=ZfoA5nC$!D5_<>vFD_LK{tl|E5LEf}&=@Ot7lW6=2@Sd>2{*bf zdKI-9D>3!A8KWg`J78(~3&0-%9)hgY-@DCKDVfad0O(WTxD9h+9l+HD;x1MNf)(_> z9zV2PEnGXPPO7Jm7;^5ajL4VL>XqAwQId=Q%=_r8;&i$b2bz*#{>Tvu;5hi@@+GB8 zxQCV_uOopp9tp&l6#nYxm`nP3-HT1|WY{bMFa|Pw3DLKlr>3CtMyUz3V`9 z+xyo#+Y|u6{y84}+&-Z2k4`h19caROW)(Mr(Q-_uS8Z(aLuh|RdPsgLXDECpsfUH- z1;OK3X%W119NWCsoj$prLGYJE3$k?c|7m(Kkb;F zp9-}TGXm)>hR^W8K|@LF8@i(>3c;xQi{}V^Dx8R9;}`3g3PrKa`f?M+1>%Q#x{>XC z+vY6OX~y3d>8LG^Lm&S2JQ-or^PYc&+C<#Jlf~azq;05^XUSs}pn7aw+je#KChz^3 zG;VJb+4nb}?UWlPfX&v&2d3)To*5MY%wG&Is-WF?+}_UeAgar6Ukd0fWdr7Kkj_#=$p6Nd28<~s;wj{)(FAwk&Ox^Ixy7hRUpNn+E1KwYC^1;#sbz=_IA=QU?I*h@DjY6sMaBMwm%m=ZglrkYiu_(WIYF)dOqf`|! zjo~cO*X=mDO+dQ2ds?EgprYYgys8KsI?-&#;w%wt%TX-L01iah<4Z*P>mxN#OSEq2 zeab04*?BpHZKeOeLk5;0CQokABVO~HIg9;K+5m7|Vlz2yJRi!}sDZ0~`W_nm2?mH# zr|YA_%Vz+#8B!MjKvu-b9!lx`SpBh2o3sB=8r|d(%PJU>pWcInuAdhSgPo{UGb%AT z8|$}+-^W3t2|Tgccf8bWH^!(rl{`ellM;@IbQ^1%27^YaX2_a~iDsicZo?n{fr6{} zY-+srwDeAIm(CyjUar*>hIxEBx~>xj8o)`|gM1>@Vm_Q)O`G8mslHnPlZ=kJF7xR9 zt3)?!#|rL?FytmyPfAM92{z|m-#zzWX-Dn$-$z4SH9Yf$n(V&)tcRHSzGdBB|3Qf2 z2LdV)Z^*2oy*Mp;$SON*{xp~-VDM`Y)lCnMMY?dS`1w*Q??e0DUy+tI<%Y(Oj;;AE z7e)J6=O`Y%qu5Btd#3xv z@r_}%TKJs!MRGJf3+)3mx2%-M3jea0=P*|4$-FN7H`-4WwWiodA~LX1%~W$a(2aXi zs^yDpp(A0oF?>KzBcG>p5!e}I!*r}>Ww_2pjqK!IHiXM0RwKHANrYz^SZp0FZGN4p zkVaIHzmMH(gwE3agk%{u^r`FRreIj`ZtXfXHMQqc_gA*ju`%hr4{wt(IWo0O_xt%f zCr0G_X#|l!*0FSc^5zNuym69xwh&L*kAiek)Rm9D;Io$IyD74d)r4ge-m?ge7tf{7 z3zD?ghmwoc(ZsgsUoo#D9xt16I}s z5<~95m&`n|F@{1!*}aE|@ULTH&i!QNhFI|N-sJag^#xi9peMy6d3rtvfr`gM7c>OX z|8RT55w8Q+#IW&kpdE0Lg)R}c^k);7PKhK6grq80;@TbYKlptcbq_j;Y4=&E&|fJ5 zjJfQ|zo0Sa@AEzZ-BoS6n=z@%&qbK7 zCWAu&XktyR0;i?Ov{yD=t931ZMVy+NDwvs}_fz0R7*BHcV3K@RFk%D4gR{QJ19<<> zHZSKBOZ`M8%MyX&5z}oe@>o@>z)+uAGHk2D$z%0cJl<1vmd;HApA1^`j`NdcL|vB* zX5B5(ZxTTAV_7*w|it&s8W#{tlE+odYNbGK490nOg?U-CPCL2MyZ^+i~yN z9X()Y6KQ-S(`^b=i8S{c{|R-OV1^lko>#~8w0MR!*URR1Un4H9bQJtC4y}y{O*dK) z?*HTz;meD~Jk%l;T$GRG77W{N9k&{Dt2&|5p`boU47xTo$-|kA?9gi!^v9g|Jo(I`CO7{mJJi35q9yQRcD>|bMHIT!Gz#)9e z{?MAbm-1^Dnw5qYiZ^2pRS{n!hGS%|Wn=GIY z!s)4HtNK;l%CwaETj;)VW`{yaUy^}}oh5zHS5h-gl!0(mSG^lli*!7&WpCqu4##Yv%*(OVlcnAXmHsX|MVG~9u$+&=0 z0gicxBBV{B&MS+G7IPlv)=gvn*A?V=Uud4ESc%Vd~&6|Dy<047#oD8NBqIS_j+Ls_3Q@a_0w%r&x7FlNY9ENHi+nTG~{af-;K zW&TJ0({!?OuxbT31>lfZDEF)k^#p|Gr4DezQ){LLr#i zeUu#nz_eP6N#YvU)EvUtteu+&>gM;n)Adyu-TS$int!~y_AOXd!eGq~qp4z+AdC*z z-sjZ>j<=fnsR$>cX=ZJ^YcAbaGEXj$!W*adLt{}j(W*bTyG5q?p_2|)fT%reh9yNS ziTQgErbsaJu}&0Kh;x*44s(+@lmta#D@!oSCk%v_}(>f6v$ciMc3&PFDZn0%R2p~V`{No{T16K%jLFH>&4_JT?Ti?j)fwoyDs zGG!Dn0}o|iH&L*ef1CjF<%+Yt=+C+!7A=sooG*80>z>;q@0aR5(5kwQ$_ftb0OXOu zK`*epx(lf|%RSw4a=1VRxRzq^#bmW^YQI9L2NCspKeqv2GO&AJUU3<09pJg<9sIB# zsjXi*xGr$CHTE!v_^bi@fZo@!hjbe6vGGjG6ebY2FNduL4p#;gCTb|0CyF5&X2!5kQInIZQ6;1v&Em3oh1Y)szlvB zFt6H=wyO8a>Q{>bTKG6qj9I*zmH*REpS=X%U*w>mz~fV^J|3Be?W4y+6PmyZ2kxja zIFj7&^lOPQ1^-?NlXYkZgWg^+Eyz9Z@1qUcvA`lANAjH#);JD3##MHZVa1HKpt$!} z6p0!+9&Rt_g(7-sl7fWYzc$+lX++VBEtg*XC-^4q~og=9DxplbXh1BXNF z;KlFRR*SwHMK*ea0RK!(bm#cOt2xiX8{OY8qVE%q6UMhtV_{m9L@S>dq$s^a=f5&0 z@81~LDAQfB=5*|?8GJb4O5=iSyq(FJ%e$-kvXrjnV`UFY@rblIz~9bk)0pr1Duquo zZvG;Xw#0q_v&EWn$zH}pC|%+`4UPY$R*IG|XvFffnOSI`H$4JitB$E=SAi>xbDeQ< zcOAHTyrDaXx%VyP`oNsMi6b~h(@B)7CLjM`_tntZv|ysXKfB3T?T`CNc)ALeCBVZa zI9cGn9Q=A_KkiJqf2dbpFpguhj*88?4j7hM@QI#JaUx=#T=>6E*b&P2DLa-h1?p_jrp2Vl8TQxU>? zX_ugD7zwT$CYkwz75-H;=6etBhKS2zc83=gj!-+vN(~3K7mg&W?FoJK^6KNVx&CUz z)3dh{sox&ANf3o$QaF1&)WN=wRq=W)vuGIv=S(dnfps0JTV^@btz%#!-fj(p9JX&% z8$wxyPz43A@3BCNj%o}!Ps~b9yb_w__*Nz(sDXqtHK@vB``MR}yGK{pVYP{=(gt1) zVN>ztfo^Cxfltec@Y`dXjyQDcPnut7GQguJg>{S&RXVg(hmN9&P$i;x)jRoKa{f4X zcjtED&-)1aE^XIE60sy8DX2Bbv8q7IR=2=%T`&$LwY@O}@9yu7Cu@k}iomnelE!jP zCURUjsg^*24OQSZy2)--mP!l{)6PWp#OTL2|2}k3KH&nj9al4O9x&MFEDMs<^H6ww zs#0!r#&QG}=y<}uY$%#8uTxx3A)ADGr1~^K_9)K%QF@`p2j%SEj;KRax#Mmwe7luB znL}aZHUYD+@a6<-S0W>5uw0{IR4UvtRg_gNIsOUzjPs)od_7N)vn=gaSEaa^<6)?_ z$QD7w1!Y9wPjnooKV>*mf+R}WEm=i8bBP3OCkK26^5W*L@&C69Ljx;~)TqheZZ$se zJcWZnEp>U&NqaNUNDE8*OB>Ynh}Ir+`5>I_tW=I`LP1h8?@+jp?Z!x(O^;SfjvF}o zsEC(W#SxE>+V@YJ8Ye=zglhc6pKNil{xFHqk#rFDZHLQMWaTryr$DlJ&qUMK{{@sh z@G-PM(^$8WV_C^OmK4Lh;Cvhk-cH_pyv3*Pb!?X5m$E9+_kXp?Mcc7)3OTZM=#(~6 z(?r~{FvCs=WdrKK_j?LFP7H2B)TOAkrrGQZk>}PuO0|y}QmyGF`HC)ugW1E(&&2); z-rKb@kiEs}2vf6Tbn32pDaz!}hSIldrE1+ehy*V!<3}R0-Q%izrlBn(BP|SoSF%0! zc2-wo{WQK3oFD?Rj$rfkm2{Ak_R(Bsk7Zf@tng?SXdL~-)0il)`d|$BqnvRKWg6rD ztFS>gjRc&3l*tp>BEGwoj;NN)cN|LEcmo&V;&~yi58wvvSsJfKjIGwN?6|1b(w zKL9gpNP(F)(ziIR_;|1k0{nY~Z+LTR@3!6OyWMMr?PGph)dW;kR0y+zLwYSGLd=Yq z>vLyHeoJb`?6Tooa%g1KsZggZX1#ch^b|E$7V=cv9mIZczPkTZ?<)u>T|@q}B4#!O z*jqJhMXT-)-5s~3WOOfzG)p@9`#y`bbe?5Qr*n5*99LW zBPu?;n1U)F2wcR8laDCfxw2Q8AuJ?zDK0@nKZridFg%odtRVvQ%h$SqCcf`8(7XwC z=4;8@;P`$p1;2;jUo#D)dE;<9#&wn!hBWz)pgNu83 zlm~&}!1RRgZqRyyMZ3q#mgB$O_6D32na->@TLl`@vnsy_r35Mia6 zrvcyIvq#0^h7ih?m&{8Cphl0WD=Dvpv!fLa=j^j>3MjIwVa!k~-M{gYW!Gq3$Jome zLjSeg`L85kk4GAgvqt#d{!f>5{Ocn7VaVOD-iE~F#K6$$TsgfnA@tsJVjtwn|3}nY zz*PCa|HFsw?hufcZt0fpZjeKFhcpOC3rM$gcXvy7cOyu5KR2K6@4v_4=H|xW-0{Ay zSB`5lxl?ghz`SS zWmr~!cUjK@+=k+T@*RUGOf4{Mm$!TllNqs)+?$%wM1g#uAhNQ0Ro)XUojnf)h<3W{VF0V=&Si_xQYfCz=1!1kgX^k zc%>4&THs9+nl^i^5kxkHA$|G&)9IET4^Y{#u=}-4 zUzqlJ4Oo-vz?eAW>`c~ubvqu@k4uA}jpkESZf+LFz2KPb*rb6TK+gbam4ICn4*t;W z@Vyw#v)Z8_+un@uQ{g7*ztQ>n(M!hx$$B$YWQ$Jc{?pvtBaU+(UM@^sTU3St-upy* z^90Xz!I@x6%g$<~&GpKpI!uvyzd|MD+l7H{0bT9SdP%6YM-1so}A zBjsiQ*O!AmgIXGY;s5a0%|;l9N3lG!Hf|66IS%sYzN z%3~-HhE#+`frplpG|dVhW(bf)NQs*cr1PI+JFF5K>!;48<;(mVUE9X zr3OurjU}xE7PRHU;p%O~Ola@J&&(y6Z}k2#}-Hq;>mmhmgktO$n5} z8UM-ZD!3p)H_czFB5I5UmbjbLF}%Rh18+AfWa42t2!5AChv}EB<`7n1Ub>}yG-xG~ z5&DWm-3;>10|fZGP(om=M9C-aqSArHHg4dtZzhq&N99(byY74XK(+KnOvU-54@u~e zHyl7<{`TSjwgrSjJG4u$2ej>ic)I2y2C++nNfR}{W?lc2+}8!{N9Mmgv#P67ihd+k z=wy&6siL%Mkzvn`6=g|&ImRWlQRD00L`x>aF!<8zaSmqyLI&_eB>)~Ud@;i(_TP!- z+$^D|1A+cW4P!}d8cmXw2>C1Y203g6DuDPA0Nq{3_dcxKlhX~1#y}17Fq|j8UI+|F zf#ODc>ON-Bz4tohOL+g{A~bm{?Wh?A9o_5@Wzs^hdHaNEz9I65iy*LEwfT=gn!EfO zsflcMiftOrY-9$&@;nH*#kvlzzB+GEOB>t(nz8fgTY0UzZ1zl~Cik1vIy{Oxj%B0j zf8ri4HTJEr`25e2FxFXgG4xvrWSXzxE7O4en$3}oFkfV**!kY-V>r@{FP2z^2JQl! zqOt6RJK&=)b#(j?9c4WN;d?K|GrG?*%vi%(;IJ0Bkiftd(!9EBhl|~XE2|9&!7z@1 zd`?*|ED&hpjQW;rRIMRG7}OrdXdkj-BZZaqRMJ&l%3-M z9Fff^LA-3jW0K`{GLdS#_$dg3n24g=!Zv~n@{2LVTB{=ppy=;9P43YwW_+u1M0u@p zlpnamw8@Pcpk+w>+4oU|3Nn-}i-ZZt^?WIcRGH1g~)i7_Y34JNaizo3q1oi|rm5K#&M?Pqbq zC%zlz$@=X@>OXwXW<;^54;U+*p4+08@)Z*>{YMtRl$sNqJ~aEA^UStzRuXB}p8dm{ z&%7t^vy80y5j*chhI`=0AfNWrf8Hl0tHqmj5+y=!2<=35D*r;> zGWoE*TR017$dp!T4(&p>48kbvz>khXbZuDSCHJFn~$7PV-8gvs3yDU?M^z|II~gHr?QZdzq`L5!q{z zw~296X(Lv~fO{Gnjq)uyqUXfTTmTh*91$6i4pyMzM!@7K(Pq9S?KJ)B+`|_I5FHE1 zYX3~gN%jl|xxm4MN-d4oR}Ay$?= z8Y^QF@!a{y8!_x4#7xYYsAs>+P)C5+%*D#vH@wf!Pv&$ti5-`UHW$E4WuONpW3kN# z3%FQqbWOQf5xl%RCZQxmhu;rIm9c0j5*TsWpS$sw#FdK}6cx<$W?iedZyWZx$~s(e zG&Wig^@Vg2FH`VSMSb#>HTfQ1npHunQ{)+$iJv$|M)^xqqV)cf#K3V`TZ>?KiFzH6 zK%}QW1N0Cvy9vHA|0H$I)GxeOvDY=5 zbsJiykFYHkcd$Mw>@)7D0xns@h|T0K&A@Jr4Qd>98lZgf&ldm6mV%BL+~q{9l?Vf~ zZZ%0->k=)IOUr(R)$|cZw>uYQ=+DM6GdC2yy~hU-3s_og`k342G%$%O)eY5%3^V1M zZCZut4X3$0-KwCw#FT}==YZ$E<8oY5??u$j+A^W1-_Z(ysU6s46Ym?|eZb=~~v1;6oJ zPSMnD$jV6o0hrVjcrch?L_)Ak^~o-!Lk(-8>uT77_cZ`_{kLCHMNUmAhdu5F0=~46 zujlJDp|!ru)?r$tUa;v^oN{noivOY`=@iROeKh{uJ$~zHID0XnHh6pL{>^0m%T)HL zr8!Vz#EOC{gT(u}66-M9CsQygV1!Thh6GrS9B*v6i36r++cjEn3jyAz?XJ7^@WlcJ z_!xi>0|2;<0JIhGq<>U?Y~Wa)1hRP6-=3J{7slP0%(Iy9=BKmJS}txXWS!k!jE5l7 z&peh&gx86pl<}jKBO`yAibFPXHbQv0?YHY~a|_R;n+^J_iI*5K)m;BQMj&FBG{(XqN}%HbhYfG^y5^)8 zV#_Pydx(i{0s81KOYC^52@X_X)QA=STLM;<-^MbQ$S0-xsP(Uk{uD2sD`g*)csEu& z@77G{?l}TM+?u2>sU2TGr)DYZNzk`T6vny?j$FPN-Zf&9{`7D4fn;3ZPS0kgp}c5-=0CAuD*BtLZ#SWz z2b2wj9y@mZ3n$=_NEre~gKeq5Vz^r*(?lhp`Mghy!G(-ClFa?HaC zzM|u5?dVcS54G*HjR?&4J|DlLgaQc(l?G4!kwnIox>k;^{1j^}a?W=f!Y`fDUA7UZgetTWd(MAQkn^*38YK2_I4pB=8lt*;4``Aodea>mh-b@r_ir}P^`Q3X%n(Qx~sA6cN! z6Of@&8G5l406#A<=??@+fm8-jIgj+W>;9`h76f)pUxn7ay&$nUr7@oW z_DlTxCKTRiki{>kZm_8$LMLmKm@iwS_YHeQV%VSMv-IM))-({Ug9-^E@jYiOdwN0e zIJxlz`6uqPj&j$Nmij&melPB?^l3zJ5|wUJl+EoRPI8Jche&I*9}f37EPfuFH$!d- zz1+Cd(x`RfNrSHiS->hs_nudgqTJLOx5GnM35CJbjqJc=kh9b>ASnbpJDG?E5%L zrVyoCD}Xdr;5Hp01G(MS)=X{okFx21dV?j&?}D`+!EA2}T#DU6(MOW-5ojQ3$vxY`Kh#QQU{OeVvWkxmv9`#Pk){ zx%HmNz%}xXJeL<=P_*6@B&SjsWxcVdj=;GL>(RQDU}eLMt|v8OBT&{Zj?pWlWB+P0 zOZvvodjv-m4UDB-1Sr{2!>XOcA6CX4jdF(_Wzl&0ozm$LMjiDmQ5jM$<4udpes+R3 z-Mm=L_y5Heg(z|JEzq*#DW*UNs(v~sH;Q3Ag|llU0-RrtrLNX9l*NB96zIGF(06uj zq6cASLt=wSq>90zH>T(QggMf}+7$D&-aZS?Fq2R6?&`Cqf~2)IJ+7*hT#>4m`E1yjjQYxE{K(CVns0nc+kN# zLoTsEOA6%x`Y(;h>JdJq{~bVKzgtQWC7^^(KGOcf4}lnTyjbn(hfYN71+Y0l2)F%T zX2V(x`WJX0dJ0fE=`{j5dwu1ObC=mxBHU#PxK6JaN*rC)U3FM3O3FO?HCc_2zFsod z812nY+Ay-FBGQ^t_$b2MiI5Gqe@B1`!1uwXe?qUu~^qikPJZs`~i^7#j{g5UHB67 zQ$#!AvO-}FUv+ekoYl-~Fv(^BKPR4B7`rRjenyZ%*G<6fWEml%U-Z8MH(`o*8mPty z0zXQk|D_)qnA9VGkYQoR8|t3lH2LJtS$zRca6l0xQVr|i0u6X7j;RA+AZ04>+g}6O zLFen^3YyTcgtVo}nZmEsK`g8W$VN-6yR=+{vepcTAImILgZeS!eyTH(Zb(AMHRkvd zulV9AQTf1d%*M!e=$30Vh1}xEw-;&8(tQO!0}mfT^-C3E&1k+`e2!U~I3K}E%?sjG zv+k*CM=50^_s8E!s#^*XIq1Oy1}k4!HrD z$e30ZTzptQCv;+N4vo|;aAdYTEX%uA{`E`^hzCrqXt{xd)bj2w&bB0+Xsf|v7#Tp= zRtZY3GS{Z-=J~pA`Sp686PfeorH$R6(OQh#4+c|eQ*=-^b9N4wroZ8jlb2CBI{bmL zNX=H6xP~elC--0i1U?jKl(#oZ|4jCZM^obingeiG2z4ZAMzyYnIVQ2?Nrodm`1MoT zTdz4DhBIOx14dl{ZS7${6#riy+;!Q^J~?^gh@eAP`6oP&4v}~LhDDGaWHMO$`7FY( zZ@kmC_qH-UXsd;H>_CM$bmnb6CSK3Z#rMDs0N{jeBsRV-2`J8!`IzW%QI6806yhJb}q(&p5^c-A&=i8nCJlx;wtl;sdtMIR8g8&L_$ z{AOXfjZ+fKl52$_2t@m_C#q4lN9#%dBXCLd)=Pm8iQ8}5Ynts``2LuXyE@uMx+|S6 zGqTIUh!@@U??a%Fk+A1nk`V(R3N<)k-<*kFDB)~n3IlpTsOuo>1&4)&mM?|IrT%9p zz!K|>74~()JzF6ffzvk`KNFpw!ojiP!Vex(qr>}u1qxonex`laezUTy>qlU{%T^Ul zv<&7;guCBS%zw!F&v}(JNMtX+IaD}!vA-fh3B)*T$|uICFCFC*4CjQ(%%2YSK=3gsnc(rKUHS0tH+6M(Y{>h#4(Vr*A2hWUVa zX$L9GWZQLM06WMbS$z?F^xgWgt>QcXjLwd0Wtq^Opr6obRy7GQjRXRtq@TcnlLxH+ z;uioYeEpD$2c(Wor|g$1Bnt7XPP!;L>!1V7IZg}wroSKZgy1){S61HdpF5WWvbCQ; z>>;Au<_E3Z-D&~!c5j@+^rg}#<`AeE><>OZ(_yDBF`qQ@=HNH?wO7k#{z=)6i#>&C?IOnZyqi#=ieI`^#l#9`qySc5sB%18KpW4Sf#!IggNky)Uwm;O*o6NDp z?Q&1ZsHXTcxv96^)K`{mvT0E>QvvS0fPCd=evQ2jy3;D#sL2a-DL7Q%>9Qmd5`Pn6 z(@K*%Cy^T$axXLV@7Myi8gptzaG@K<>fF_&&^b0H&C^!;Qo6Pfj=DKFanJ8kK~plf zmahl*-hI91nA>NIYIHEE1)S<#5{%gk z?jsE=?iKuKtt7=Wv|r~9@q76T534xV=TV2(KB%&IWlRi+dC!kg@Ol=wA}Lm2O(`8% zFhzBpt(OMd4IqD++VWH;>`$m;_9I)@stMG_&XqWe?4|I%4M-4srZx_4G*}{axV;sA zp*o+O6nWkZ;PpNr;zXbA>-;ue?txm!b}4rp?9_(jsJDo1_WgV;Sc=kE8ir-V{k;a_ z56qh4V!)*1^KDqDV_gmjT!j{N^mLYDo|_4D#9e3L!%33SUz9ia@ZZuSY4Ewj8+wRj zpSm^9MLmS8e^lzhPq?mwt{3&_jy3G!3gXl~UtPkW;D1ymxYC(z;533E3nGVpkG1+= zjFAQ-tFSIKMYkL&t0XcEB;y-iekk0d4xr~8_yd*^#Kq z-f>agowJ+)E${ea@FdmBi0a4+oC+N~=L9NEP#u}qIKp=L=7C?Wg*?X2-*gzL@oc%l z=fInhoarXXVdY$w-ysJJr=-q_<~mcsT0UOajyooADC&2tI(Hhirl1Mlb^+t!+xg|1& zs$Xv(FPwmy8KpU|;|Eo$T*J$O|6NgJv5}M2W4BTLei@G%1d4gSM;k7p?Rb3bl_xma zb}B$e4K?vBV4UKTsYwT;BVKS#wzs#3oZ&^NU*6I|1`dZZ;Wi#j$}V1yoNf6{@ZnW5 z9kPhOJ}PAP&3PI2nG0Us-H*!CBRp8MUFvg<1KH8)2q~fs4=?e4c@gV@Y%=#?%I?tw{Q63l8X9%ey1fFC9V`;lst&NMv^Ki=DY166s zeAznm;-O;C<8r9*2itoJL08~8m*>^1O?=XujchKOEMKd*dYV6@kQWK?$WBck_Qv(= zYfUc&3%%~$dHQ8l-81(;@3HqS7`C^f+LLZM%q2{0#V@o4B28?B+P>M-<9c%=gWx4- z(@82S?ghcx!;?42xkBzX`MrBGISp>2NurZ`GN$bnKbw_ns)hF&7~Km`VwjWJp$bDM zy6g%~!Z&SLjIU${kCZgMqW-$(oz$O?HX&ZTs-}Q-!Njr&emWWzfnyyR1zk%Pd0K?( z>gq+s#Q}pmu}eZ}`H-k6uv6|w_--dl0rfFHaI(Cuea^DD?m78Gp=oEWxWKRUrQSiX zYH6t~{1J|{1%Hk+-gehvzIVkNMbKd#v5Mh5C{$8S2oE)Q)7p-HMC)$0@5@~2I=4b$ zGbnuDsZZ+WIC4N|X0QWsY7C!Y#wJUTXXCT*&H|qm%iF-e+x07Zn?9t<;gz5r{B_3e z@o=sk4TyZ>qz@#>gyV2bU#e}gE#u&l{mE!*1ocfHeDU<{xHryL7YqmgkG z`)8zm{&8S^Tmaq+iisnk#pa#!TvJ``ow9iOak=pC@sD~J&XhYOK~bH>*o|OJO{&0~ z43F9Kmx|IN369(-V04vAY?U|y3hnj;DSk0w6b#}cku9{v7rdNO^Z3gKZf$+_ejt6t z&@@=`w_RE9|2yLc)0wD+2Er#I?VZX!>piIum6b)x^tt6}Tbc<9U3f*u{t^pI`TjbA z)SB!-m-V~l&!TZ5`=P7h11l9ltxM=*VR`v=EmeN|W}>|c$n;0p!A>mSdmIiuhvJF?SZ(;uO%4uOIr0YjWb2r}MT&1_y17mr zDXF?bj7PQ=9qZ)!3|0~2k0TV0AQXx+4m%RtXkXH*mg(ampq+bq>Qe1+x*P$qDbc2- z=exHz7M97u=fdc%ZyUNbEvr|PC!TwGw+dMZo18aXal1YVJv%8usi{1hi}Bmlt%8oM zzBh%v-a@K-OQYI+wxV^GF%mbr2bWe$|4uQq!DTR4MOS%Tz43FOELU^Y4tfG_gB@D2 z*u1`zJd2SOgQY0|rsbfp!Kl-HN|?sO&8(vp;L-mKS8--Y${t_N+) znf{cf+#b^8sEzn|#1lgqB5J|v>}IvM%xmAP9p_Q*@*5pGyYD@Q)SG6F4vZWL5=78h z?OLy43oGGy5|fa5mtkHe)q8(%25UhcC!KaA#;?D=K6mFyW;Tydsum!1kV-LjhwnuD z{pvvhc&WyGa%CjotAC61Ad3TWC{Tq6#C0MAGX4G06o;1d`yoZ&@TapkYD@8Vz8evU zO+@3n`zLHoq4*qf3w;56_T&#pc~_5XUgc7#Y4uV-0dzo)s+09}2Ym4Hsa@B=Sxnc# znBI9tr#CEZ_*lul)8g>ivl*B2NY(thLq4TxWTIx&AyEvE%K=1zC1Q0l){J{&yv{|uQOFIs+0T+@*yX|IQvqNjdf&p+Aq3W8UZkk{UqiR|(;$+QiZGKj! zCAP}DU1BQ4VzJag{GP%gG0bo^bFd)TK-hZwPZ;>oOW(E(tBsfFQsnE_n`7l~c-vno z{oUrANhXL#1!*Wd@ctM=biV>EQ;i9uY=$zg(a{=z8{F~sxqqfXGyI}u+V@@{5}NyC zwbe{-<1`f>)jZqPl?SQoX0!n;-u%a$3?t;3Kdi4m zyoa&w2GRj#?j0NBYpwU2IY0iN7QoTdp1H&2ob2$r*Kc&It5T=|AB;EJYfHb7y{sy$ zvjKh2ZlFd8Y0B7Ed?c1p&sjPGnMfGwE1M|$hK#5-PZ>x1#urgGUMl?RJiL&H%}Q<5 zoN`n-X;3zazoR%vfPQ2DsmkomBr+x@x1fbEM+rWw z`IS9+WnFHJul+HB7c9>G#>fIm}=^vIE;dqdgFWpFXz0tm8gb4rXcg_ zd#*or{U~;we{^&+ddv!nU$m+1kLYHl6NRpX1_Cq`jSxsJ*^?tD1yocZQNn_Q?#%B4 z?&QnMOHqb^tR|0MMM@P_gzf^}9YY@GSEcbG!F_}dIVr~MdKRB=Pz8$iQjJNqhdwxj z$Y$tAIanCVIK-&I=p^vQ3z;8`pZV{{1sVSIBJyIRb|1_&Soz+=4OZW)68dP*`7^(? zOL~n4_nv#|%8G(o*TUL{3@y`hooSQmw{vi~rf5I=;Mbqw>0vs>b~QhC1IOAldgS%r za2Or@n0^+qJ#ILrQa)iOchuDI_>CKAXrAmx>(jq}e)w3uVitS?-y3qz2wFa(81)B0 zH8F5M^nTLPjX=rJ{JNi?aes|>O77`DCSJYp>m~UYzD#GK?!jHjr^LK6S2EC2Tt}vt z8^zTlKZ4#=0jE-G1)Iv4%33M;mgZk)Kf$OXw%eNMB?j=ceRf&!ZKt0~j%Ii}(ie58 zM9P6|8`gr^7%28_$m$rbj{`q;Dt@pI7_K^Mm&j9_^WledcfLJgc^nmED`Xh|oNmSi zM1#?t?Jry{ErKzUpZUWjNgiM<<=!7;ww=Xla5lGOf5OV1Ou$!LPev2n%O$IyCVvJK ze|^=GuNe*hqt;@jC0vM5?+ru|$ZHb~fECuwR=bl`LirNQdn!&p)X1Zkp6St3K){q< zN3ARzFRO{DGHAIu`P4Kw6ZmX_wS{`C8IF#RzZTji8_~{s5EpglwL9`hKx)+^yLhU_ zxw&Jh!0)9aefyk|Eb>SQ293rjJ6Y8%e;F9yv@NVR0XL|3 z%fGb*v7(Nm+z3V<$j}`G%bjd$k}Q&Wz0G&yT|DojH2t0HG@c5>3hCIa6eRU^{%hLw zSOAC?Yf7af@E~wbW{XDabwH6?dP%&W57emrpa}joZ#?=GCdSVMdh&7>MxA$d%|0@z zJ|^@ff0BHKm7`VHepFD5thQK?yACEWKLo;kJVT@6a8j8ZeS3mGQ zds?2_QvUL8>E^}4dW@1c5Cf6ELo>AF&n}M$!m5&xoy>y-~nN3cV2&{Oz5oEA74(F7}u16gO!T9)AVRlpPNoU9P$#N)P-MkEy4$5F#6LnBijk znc;LE=gF0oh-4tEhlh-6^i(PaEuczpww9DpzGEo8D@w3TtAXyUdh4nIc%C*{`yK;bgap{e1SEtNEfy z;B4%}w+vEfdPl0TWI3nAD8F9{H9Ez-g|w*k^;j*~bEt5+4+39tzO>>ji$8j8-iM)A zv>)vh_{A6j9!Osz9YX8#v<*_wpraIZyi~plCM0)`vciAKo=Docf`c`SPp$IzE1CLD zjb5M4Vj>UySQc$O%uazU-OMQHkxjHtA8fz-liY1j#a@@y6Te^A!U2b zhU#PtacK?kOjP=_xSjRm1mBhH1YGGYNu>=GUH6!?N^5(b#?L>c^inbh)R!ANn~kYOv6=^6YT9q$w39;ZpA`L}>DVpFGUOqH4-e@LMcbK{^;8$O zrZ>5raO0a?p?~g8?D@A;%PvTY4C}ZRjJN%=ZU9J`k~nDJp?Z;Z2X6e?xdE5b6!lo}bOv07#E z+{2(9JXmN)_LiqQ(CLBaUjS__^Yc(4OyLYd=o+f|GR^AWZ3LwJqq)B>R&-w}Vtq%V z2#Bs@4OI4a?maHxjfirylCg*D9>?poriWr;fcHg&4=D+<@y_jyR<#YlL+*FAW)!Emflvt;afbPsTqpSac;Q$cicNVtF2hICiL7B%x`HQKNn}EIzTmy9(!CS4aWGg z1>zZ|c7??wS7`0_Fn*rf1Z%2wADCijxx!|(c(wei-C-@1%HE5BIYDwPG8f`q#`{h2 zq7$AdK{{W)l$X-0$xI031?T1Hby^sBb-!d6oaYXk#E&?o?C_-dv}A)C5WlldPVe>t zhs^!X>BdgihC!VE6)mLRQ@_suj88K>3{tR6B_9k$vxIOqlaWn{>b+>FR zPS)=HIyyMmm@M)+Q-bf`y}~IiZH*B9zLuUyu!0mZFj8l_8ND4y;VoDN12V z%>t0kw9`)IRc(0ZMEOtuR0RrNKN?nV76ezZk;h7j@c7rSLWzrN_KS$57z$JXs<`(S zC_A9R11e|d{!G%(-}9_3N*ApSF?EJCJ*d9^{%=CkR)#a&`B8plkSrXBWKRISp?)M9 z_rX?yeVIsoVPaheffVyP?DHc+>iGD-;Z_yQJTp-pq58|xPr1CZ!s!s^Xb(hx*gv@n z`e=sjOqY3T4ik5=QDsM0P_as^qf)`Y!3+l1iac1?cd!$wU~;ddv$LIjcLXr}v=|~g zt?1I}jLT4=e|_TKqRuqzgO2$4_M27yF-h zxu)m%ri26!w{}7TUpfC1f_h@To1jtX2dN{acFy9+2#N{h@JgZ9GE(Ljd*Xx98t~z) z-1DoS|IVP;VF!QonQtkoAaf~ify$?r?B~UIz>u~48Aq*S_)6u=;lRmpwe>`F`rTaa zGCiDD$vxMI!U2ak7V@>l%gsIn6E=3KU*vbVpr(foYghe3a|V-O$}EQj`e3!VL=0cx z0u!SuNL(M)XPHOTLHPgXp1MsjwS|fA1Q|&nYJ}tnE6XkI<9_*jcWSPMhzg_4sKpry z7i6SOq<}`G*xg&hg^a4+y^}IJVq-OKRl6*MLAoRN)s%RF(jUeg1`j=If2q>3>fh4C zO0d81!uyebJLvags+xUqWj?&!c7doRXKY||ZUprscc~)32A@hq|5Ihp^Q{6y`xcWD zb~P3ou#1)6$gs&E6|4v+p*Ig3gtuhaHcaU3jTN5NM2*RONHUw?>oj3;Nh*j(nY*(2 z!TN2$QW@MS?UVsO;JC?Dy{AEMQ{!2qz6|JqrxL)X(!TdLTh#HOcXvBf79;`RN~t>RYLOc&mn0M%ZPoF`ZBJ6(GyAV%n6gh>5BLhv1@ zWRw>qK3a-7H+r89(;UYt1o*_`>;do(Si4Hvq&k8B=-A(n+%PdCTMz6@ z2^&ud|9swt?y7HwLLFQl`M5nUN{vwdC0}G*Z^bPz^~P23vA#|JH~C2<4J2rkt4QH> z(#Iypmb6~e=7d8SKk0dF?6nlA9GfqnU4e;weyKA4KpaV?+isO#aT8aBiP*KJ2= zUn!J4(;RJVZx7Q~_Jv52evy@BS4ijnxXgP+k9--N=Rqtb^E!5X0TL5SA}5T(xCF9) zHp`$#TGYzlqBFR|s;e*3vktgX53<~uET!a@gQl<5h$tS*Vh}uN!@P{zGbn)Lnp8+NizL^WBzuQb@y0r**{4T9u zP5t5kWHMn~K16@u3vtlO+W!9A-*U!3>%|7wPRsX`r4;bwLLzX3 z7zP7sESVLMkiyL=zJDWjlTPITbQhx8jrM5{wEMfc0v?KtElMr+-A4RNjU$)pR8)%^&f4 zzA!pXI%Xmx2%i_9b59{_os_)YvqOu7iq*PrAETl;`U6cZ$GCYO>~VRNM}P4owXpe` zQ`FB56OthJ@PW->FE-};j7}EDO-_<16csmRH!?#tV{S*5txRSi!cmI%;TIyPB3eP` z(3QVHzW17F{<+kofvqh-M6tVX5LL$I@hn&{Y3gmOo6Kw?Gs9i*{6Y(P4lxv`aOTsK z0JVG+Wl>ND6OE032^eX^9gC6-1KlKm8X{dzZqvO}KeSPyEwDYKm35zB=8b}HtLt}r z1k3R~gUjjhclz;>a~`75&&)^NLr7xxtvDNG<@T`1yC4lQ8s*#MH5|~m!KG#@)FN6B z2{tf;*O*4_gQ!^t9$C%kX#J$UKb^M4D?Hc%UGInY`=2`l65Q8+OT*ts5_a|5dyPAZkTJjnn|GHwvLp)nN#)XIjXdoSb<9(@G-`UQ2D1 zT(3qPFLq9`o#hDwvF0J@kxKV5=BtaBg(aWnaDi3LhD4NsQM7&){nD0~n5%DRsd6kI z_DU`-{^q&$48d*8 z9G9OL?v(;HCzja;xD?5CB}=4depS~jYxjXuF!w_{2>Yo{_@}--iz9aWd_zuaj1GW0 z4<@p9Sh1a9txf4x75WARAm?gzI4Fx)#>gWy2X3;x3;&* zS+lBg|7$e;B5I@ zK2-H=836erpbYr+EU=^qHe(MGYM(>}-S-W8fk1-H5pbs@s#N|#u^pd;D)5nXWM??6 z9|aDW?fZbc00biKEPy$?u`+z}%bGmB`%@h6>EkEKYo8CaRf*AK7}_I9o3$GBmCV20ihh?^qqg=mQIdd@DBC=Pyq&Gd zb;0^vZE35b7!HmsRx0)O!$mcv1ykp4$U7lbL(h+nEzLv)9SjB@N8ql1pJA_M^w>s20j~+HIDtU5QAMwvO*Y9S*C~%d9M;w;R$7(KjXx*ONUB zyto6_1gOy+A*V3H#5ZrgU8zh+4~lx6|EuOR>CUJd~m_O5C!%l5;rP7FHV1U{9h$Z{x|p2&Vq!!OZR)ib~@Viiu&huIUYe<9U9-@3L@A?mq`Wu{gib zy!ml^BgwBne(48l46{+@4EGE@H#KYS>_2!TOxV71?sxLy)hdp1UBl4$&^R7W_cq-Y z;3T^*sps{}hX|o*8&rI;-}0tn{!uztjUVx1&iN)P`{qDfdB-6d`H&LX`=t;gN__^jKRa=^0pATs$ zs+K(F+7`Sx@hc#7-%3fah9JD{Z;nC!k3iQ7n(m;AuFNx``cVx}#e!J0Hilbhhu)vz z)iGNG+^eJsboH(XJb_RhMS6)`ph=6pAPajC0@QXXu@4KM6!B(E7c+*TLmB*w48u^B zCAiJ@mJ7_np|;GL79>ZHOY9(~NU{mAYPIHu$yY648Uc8l zoHSu|G7l4f$9}`}lalBwb-as+l?m7}aqO5k)24pl>SJY%S+(%%!I_*`(*`?%tWHJ1 z+LZr#E?<`u5UCjnEDxLJep4~W9qSC98p@t4^nhLsA{+cl1&;<@?c$ik;M>5F9^`7x zwWT!in%?YJ3v`BewZl|j)J}+I`gdV=Le*yMYT>SRJ}I^}n}4o2>>x&=c*IN1d+@by zITooKeFRyakaxp>rqBnSo@!_!N+Zn3=)!BxD|*U(%h2Y*ylL<$K2=X;#iLE#*0i-r zU31sKPYNF8>pbtoytgHKVX}M}D=M9PQn9WLOE8wpkL%p~!D@D+&of|JanKU$DG97D zXr#}Z4~tb_1;}F|01yst&FhvdMUI?HkSOc9kKg<4O)m^6_M29E$5~){{<;KyEfd=f zh70^5PVRBIumNcC(B2`n>3)3x-w>lD27uV$g=pfg1=5bWUuVQIrc8E~54R&A|7A-o zttWd55QxbCO0Wu&Gm~fA)&80Tax<*6T~W^H)#(_$3lIm8vqbmja=isN^|4pb1sZ;ZP+4f}4&eDc{h9WzfGOvl`Xw?QV%vqWXsXo%m>18B3t|}{o z=%~TS+HMocQvp)DK(ykwi`VL*P+492j41=airA_57t33$(x6!*atF`?0GUT~#-rLV zG1kDz*jd0?x8A_(OaA@pAl2On<5%Oo_J4Jwx zW@jXO1irWBO$@?=t~fm6hfWA`S|S9PLyFQecuVcMVMcv?<~lp`))Qyelgx-U_dQY8 zef=&&LSt%>kCm{%?%5JL__|J{cw01oSulxyAbHAt4?pSKPQ<$B0UG|3zKD@v+dB38 zi(>>JT`Xt#wVE##^xW5I5FTWKql6_NETs4|7%phB$wxpZ)w^c3060Cmr#_W+I5TQ& zG~>E6=qB8`12+fxP+`d4+n>M!>V!@eAa}Osg~a7J<@(anV)6~Rd`+Da163k zHiI?i<7 z`Fr@#-rsB7gv2}oQ2A5GUBRq6NjZ#E{| zHQBBS(_~IIC%Y!QCfnv@+ven&T$62E@6-2Pzq`V!)j!>Ho^$s8XlIIH^+Cj`7B;KWOc@T}ypkiOA#o3Vm#q zUs-r-(D!m^aX2%qL?KIa4a_WH5v zLJCWEi>GGR=3@L=zAL9#lEKQfi7ExEHn2Rt$&6>c@cb zsya^`6hD<@%8qn*PG_z+!WZa|@tlP5xy!P%;p*wXc~V1_!Z4A=HSB z8Koz5CF^o^&BNiP#dL3_{Z_XzJUi{NeyLq$`Stb6H ze15j?eW-UGhr@rSM->S?*HlF;HiDi2d;pr>Qi(D@JW~5IE*e2bE^kpvVV)*+jNXHr zV5IuQ<~%Jbi?Hb7@~6E?)=zIW$cuuNzbrk_V_m{obeY>JB9SmY#=KS^B`W*LpT9T(`XTI$+7fLN=o&gGR4>TVSp&+Kc(}v1OuaAJRGIH-O zXquzz4y%**!T?w!_7B-<@kWcz;g7^AFUh>BMB8^-aD|y3Eq|^hvcgpBEtkA)&CQ`o zrd6PXV;JS{GVXCx0^2QOm}}vm{J;Nc0Xi|3U4ph{Dr?LfBEBc-ooCFjol7+g|03Zt zYa_IAX(*6{{{RWfgh@yNQ?2NCi2|G{b_S&kICu^^5fTDWNNJA8`|c?BLsK#c1mkhH zP274mV@zX-5gD1>WAKiyxpESJL&MP#KJW9<|v{FJO=HTpA}9WNVtm|{60eZg5Dqt&n2xF&#B zB4x+HkkmF`o0)T|#2jWSsJ+_rsDe_&0C<957edURajAAdL~1cj*0SXhFR#<`Vi#3{ zgpz`$z8Y+&&AWwop8M%e7j7E-U8H_=s@#|?YzZ#y;bJKV=?lXGGq~pVJC=Le zI-C6JWuSzk8B0l6n@}HKk4is(p@>WhW0EF})Rs=@qH=chYoK;sm*se%geYqJp=Y*B zg7YVWLvumhH3RLc$(4j<@Bs&HP>u^%z<6M&vK=iLxl;W^n~>i&X;>T$H19&|dX<=6g4(>suCK|(-_QW!WVeDf9&8w?q_ zPa;y1m7U-HMymZLROO9v)t5$%W>AMmAPAvh4GzKXmHAH zCpeLE`gX2g=Q#s`SyZwh--hU_K>z}Hn9Va*|NH3n3&!>MA5d5VLZWWq=)1mjU=Eqe zA`H2?4=7LsilT?VNOS|2j4^$!e`@M^*-{gRf;+*yJE5Kx7i-7;)~#N-8Jq2p(LxQr z{XXAbyzJTte&+>Gg6e#(;GLCA2WR4@H$r;mHe zThb!3cv3D?Bm13TZ+$8RkR@+g;tz(cAm&&|Dk>H(*4*jF>zMPSqt5$p+eJ7G6 z<@fA$H8vA-LIn5(kV8yFk4Z*xLBiJS(2RBoijP&V^AT zrl9ME&H0E%AW(EB@C;IOkwVT+V51tn6yT=6p+$LpJp16_b<@j#Bj%I#{Lj9hR?@n) z(8$>gx98VM*E?a%eo=f@Z!i*@`ujH|>%Onn2v)lpK(thvk!pDnU=&TcpF5gL3gmQ)IkCrta7UpP=_PH^lW< z)yxN68aN#IB1ceHme)+tN~Z7VKy=MPN*TQz47(_9t6ZeAF@SC;2XXu)gHxvYRxq+( z!AxbN&4D0aCFqy5N6q=_f#JIm#8UF|x=V#Q$2Sl<$wD^(!vW0m@W<#LX!M1K0N($G zN8z-b+Rb2XBnf>)cV*pQFLO#&!|$|4^Jcfcf(tTrVjj|*-annO+iZ*0TpQM2SUxk# z7+*DAZAlvs;p9qy3D)>f0b0zo>ZL!~B^Rc&r1|O!SP3>h|6V;n-MK^p=T-XVtv~Fs zRjahaFMR)9%}yDj4ut;j_x-PQclDWUROKUj+eAD$_Xbnbc_gT8-QxNAeko|T+HfAe zP~}?PXay7qB4T2M`b&Rf!*`Xdc2#seOxzk^b!_9gj522D((26gLJT`_6h@6o=NJ{+ zwgBk0udnanPvDIGcG2>ubR2PNf{913Scz{gJW`)T2%~s)PB8!pER~mH2?fpspy#S6 zeUqh_bre=AZUKaY+3fXH9fZz=g_ep*n!=S&fIEc%!qD$IBg~v33(4og<7L|=z zAKXEC{C#;&xrDxruO%Nl=R2Z>x&MBJZGN~IE%`2fi2c)4qfAwa=Fw66H$T-LvTBP@ z(}<>f7DbBLE$*M?_B7Gq-(@l_$%#4jKFA4s(rnNFs_M{RzLF-k7;&8CitA)s3p^OK z2A6i_zlLwsc8eLwhZZGfk;JJe1AVDXRAw;)r8jOPUa3oiQf z*7c@bcu(MPW2XQW-hr(f*)1KHJmcTD(SZ2*gw93-5dY)z2>wyNrBZAt(4J7nkxo-b zDwi_T*?!QY-+1#yg(4zaoz}^D_oP1Mo5hI4~v z=;?rtknnVQn}h$4mXNecw<5inUP>%VgI>|84L8BpnIRL4to2Se0yPE|K`!fM0y_e` zlV+d-O61b9D9e)h8)UsS{%hkw=&&BVkouU$t1dA#k9&yDK=aCnujs7u3Dr1PE5ifw z6+pQj>o%T6*pBwm;)v-9|4O^iNDdd_&k|Dnn&XfFf#1kmZD8482E*{7!yj3mK;|Q- zEgUq$*n+!0X~m^#Q(-l_Bu;*1!x1TiM)fv<)iRRM$z951)}0cHKi?S1!m?^WdE{L*B5q6VTQn()-5vX^Qh&- z-lDQfYR4YF#}&9&)oC)`TJ3%hf7}!n*ayUS;C;)rXje;r^s*M^fAA+)ASN~Rn3#q; zoV%>?h1JxY;OlWmiO9-wFmk`QkJ+1q17S}>+n>bJa;^-1xM`?EVkcJ7qGAmrXhLFR zwtL@h_gWr4g2Un+Zgpn0?$Uc_oobzUXuvHym%ruR>#U{Y&JL!_KoKZ(G0MX_v3adY zI)JdXGO?*0bR0`A>ISim-+zQNwHU@Dr|`&yf5MjVWN8BAHAn(+H~f<_Q7n%F3y$M_ zC0E(SKL6<*V8cGR>i0`M!3C$xoAa+EF>^%nwAQ&9EmN5&OZX=!2F{~AqUJ?1lo>5Q zLi)fCB(_V_l#-3Dla$*ojg^M`N^;%r+&d2Nk7VP!=G?rqk+#hhze#~(^K}^u;FI6P zsWXO3&7V4x<3)~43>mV_&RyMA+6U|uT4c?l(fGD}L$ljkYbK-g8XPjx*efO^80w)U z^~$WgQI8==XX)s1%}}PDRS7AE!qzF}g-)VB1NV&>=Rgs>WLPa71q3w&&SFU(E^kPY zhD00DE@wkN0qj5Izs1)t&;n7VLXC6i5x3k*U#J0FM|Bo@qQi;y4v113D_kR|(3 z+$Vh1fVdZi&$wIFE2w2T0?r2*h0>D2J-M`IJa=oiq2V=0XM(?(`f~&o1DW1P*s2PI zz?Cpyf&*A6yLMYS(mya+TpoS3svSoo2-IuCDlbnb-SwWsdf%)=OOeF*!Ck^SyK-9$ zh@x#ABHZ!ErFk&~jrL_Wn4lzZUW|zfP}W{akLdL>Lb$kwgBvlRhA6YmM4=9&rW^y5 zP^EjKddo|cd$37fjl)HjR`3gpgb^kg+K;>UpU!-sn11R}@pW#6!(8099fOMZL1*DN zn~rP8K&LJTLvLA^aHY0*l1Cw($o#D|2^Uei2TZO28H8ao0Q4*fjIGLOdbohlFGl7s zZqk(Iq&CNuT1XGT=u4OR1-yDe?ShE#cmr`~icASycrru8hPs&%&CFY6xNTiM}JraCD6^t72vRHz`TX|bAJD836cN3pi$9LAf?#g;Nm=TTsWOU_5zjE zf%ZqQEW)1cX`(bHsjZDqf2937*-qh-CLW^j#)!u-BGpLyoO`@*a26l?CSfIPr%EL| zz2&L0jW0)9(o*!N3(QZ!h1&_f!^NW$fwn>T55*!_&k`&k?7HmVbpA}QAwU<~l?eIe zQG3qLeKFNQ$91aJ*4O8v0k8WnU7SXsjzSwaM=lOaz zv(-S?nQY!RzRaD-!b!Rp6e*^YgvW&kK89#!O(j8Pz%!-H+r%<%+|S%#U#uJnY}I5s zsNgSLH4NjAmIH2u<;j}32&BFZB31s~yUZcaY&IaQUcr)&41qEE9(;qPq#@9vKQC*r5y}H3>MW_th zbxBMsv8Rcn6y?K5*-RIJP(8VScG?Hci-0HBGL1)VFAbLbbo`pjLZ#MHQTozw<;b>j zUDdXtSHmJ^=J;jOspIxKS>N~Z^_!v02M{KtAji((=GR2Ej!>FUt5&MdQQb6bsmW8o z!~A)RGa@oo{_UuVqoFa#zp0Q>VIb4NU!|CNrJY{YhviqJYLS^y86Z>L!Y+fk{5@2- z87Shcu|gH^nR=snT5154N6#f7qWxB}k zQqP2uxG4oV85;^M)WZ~b*Q+q#JGy9E6mO)iJH1XIm7E9$+I#%uOe zFB=kG2nj1{GuR;HlUVsR(pbH9S{y-T<6OEGhzemyq~I*{0nn0sM2-hXbfogUq5}$Q zbWGv;VAhn>)>rq$4|H$dhuGJh>;DN-xz-}}&-K=a-DSyQ|NLo_qt5}NOJljfJf9A& zxo^|oA%|+snjfjgns2d$F3k725nuOBGS+G_L9CPLDbPNH;s2(7=bw~cWcX-0&6cVO z)uPH;Wk*H{3xXfM!&fwDGw|IG=9^ma(Yu8&z0#8h24T1#mLCT0`l?X2E$@*w zAK<)w$I{G)#<}M8Z(nZ#gvZlk7q{K6iNDK|eov@aNLtA+|JY2An*3z8ToEv@J#6cF z+qyr?RaFHm#WJapkp6mUluK4hI-VcV=Yh8(E3nl5^))xI_$@*oP#gnRHz0T}{k%g} z`TR`r`vdq(p)cSpRK3<_1i))$lScNZsi8a4)O=&>IW8fif%~H=E}?K9Kd2w%-e4p+ zaIzC!X~1+-JvUF2Ye4R!%+OaSy6n@wEo|a7J3C>388>gHS5uBeuN8Tj!jD~@*4q3} zLDO}GAaGUQ5+W2;T8Tz%_mNf*OO4bQYz;bXR~zu<#gH?ByY8U)2)%c`e)AN(2p1Px zn9X^+_JIN6yq&KbY+y&5WqoG;Z04zD%LM=QO$zI97VN62~B{Jv24I(A9nVmGd!eg`}Ew&-43MJO6Q0GjlzUe|a}1>8%{t`XiBdl-16l2H$d}}np z;|xg2#3J{#LETDSZp8F1sFs}r@!e9)RMbUUX`T<$RYV{g&&nzzb=U%|gIXaq5(iS7fzP*)uQBdZq173omz!@H-kYjv&Ma$(&RvO>F0AY1aq!w(NqoE&S9U1Wfw&;Sfb{Vf^JAC;mr zEcgpgEEELZ9Mc&#kRd_k*Seg4)G;0;q>UYC^zd-05KEuqX5zNYjxzD>x0}H6mOk!B z*yWF36`le=Ev~Ty?D(sp6J`QCVTk$<8MH+G)fCyh($eA}cSbRg$LSd>^gV{nW*?CBz^ZT=GcSExWLAp^~U8Kcsm5#|7{ zsQm@tOI0lQ>4q!n<2~KCQ?Jv*4fN+B-kLLrXF?}(Gm-H0T385DP-25=m{H47b&!=E zCm`_NW(8>tOpfUZi10I^3R@V-p(N4oS^J>8oKm{BrPq8V?>Z+ORW*<_#XfU8a9)KB zRy-vlLd;89wdC+nOB$s(RG&|(F(+Zip>G3k{)cLn1H8f0nfgCwb*c;OxiS2!T9C5v zbUTToR}hweDOpj(5olM+)GD7lmFAa<<`CQu|1cr!JG2K~>{O}hNhWT1kwRNn)F18n zDvyM^W;9`;1bxBT8QxbI`NZ1v^@)F_~@TPexNqK#8w<3xJ{GRoT6Z? z1ORLb30}G9QLOn8gB0#dP9V1T69_u&>5 z1Pi`lU&F66LJ!NZ-;(MuV_?Cf5C1y(0&=ym*tnei;kl->D{#C#CUd1GNro4wACM;F5He7@ z@e?CC!Q_T^8OIU^B^W}Y0KTklVI|-FWxxxa+l@7_<+@GT$jqld0Os8o%lpFjX<3g} z@^=G=VE7HxAweZT4%FQW`KL`29wA zeqy0kDt(PqX5dK3H{rJtY0&CG_Vm^t{!w3NSh>a}hh*w$0{A)XmiT#um*z(%Pfdvr z#V2dr|6SWM4tP5BZtDNVntBZ12YQN+`bC;|x8-l3<5vMI5$l5Li>Pm8qDzQm>iaVo zfk}INWBW{_y(V=%CUxd}8b!t2R`dXEg7N2AjVE~rB2~8bG&cTa1VeY_ne&+-;>JMLQd-wo4=~9C$TV@wg_NB#8t}AY)id?SA6^4QQjPnr z=F7n}3}SqjltX|4O*c5dph#r$j)xq|&_;PloKKUO!0}R@DYj2W?Oj;9+T0mMsdh=b z)e%jYi1jICFN83K61&)>vPS2`|8o=62(-QpS7CX{7bA6HUZdD z?uREm02eD;7r^o7Y#rxOKM8c&?a|rO?W*%NE!J>qS?$!i&us%oM!m_~r$201OFO8^NM32I;qDWFiGz~%Vs)@jP z7P$?{y*8b;A_)w`3c^wa_SJhJkG8q=s808Jwn`*z-z*H>S}9Vf+9ydf%^6rSppBaX zbi$x&Ag-kSZV(K3;Ov9@i8M=mX99rrO`gcsVuu1u#yO{U5WE=OAC@B>?67mAZPRRU zbp@|dzdUY$`p8Y89Csvw4^~iPgXxahUCEsy=mbIyBnpK0X$+q0W;oRUl}lf5JD%9Qy-IWdE{b>x;j0F3a<Q0> zGcJl~zvCp*^2XW}ORo`~OS0TEFj)%grdmX|q)j3&u^Ry22+!QfNP*K|wsWAg`wx*U z0RhTBBTNkcfU5oA{5*NLjvsIh0!srtIai?4r=sQQYpxRSvoq^b`!VNvq2_o2dPe1FvFj^44SQC8;m^4@QsfVd6VzGUEI@WfN;imU#C)e&7uoX(dqAJ5#kO(iC&D~>u4#Y(}d_N?Brvgfd+@XZDjz`mh~#$>(c{zGi=L7_&!QIPT|2!cUCIk4XFDXh7v>DK`&{i(r8E_f_qm@a38kBP zSmKx9*}VW(y;gPxA?s5D$iDamUY%Pr>o({jd0WUKu=CC@$U>PQ6B@aC0N)`8N{fUR zGEg_5`>VylLk^ZPuhP%2A`$nsy8Ml*pq%ltK}n(@EC*$0VFxQBF96k=OElAU5!l|D ze^{mmh8?{*FN zM{PEVvhZ$0IHa8qjze)mQO}PE)X{!!pOH*g0hRTV9gtgfL&OIiyy_Pe_*;=@(wnwUk6?+A_XVa8RkUB(<65oa{K zwMXYtHx(qXH_?xxbGiicEGxY(UQm>0ugUpQ6Fzm5|32c0DNyZJ9P7&cvdFakMPAx8OxO*-!+vlO%pzYWoLL2$2uj04QoX4^_3zQ6uYiz`~7jdYAq8UU8nc_3fsdF>SvY`<@qaAKXzA5 zvxuS0k|823?H~ppBPNRXmawiO1sdE<`z_a^K7^=Jaaq|wiBi#bEv;Y_pJs^RA81AL z*@M&f>*BNnzO_pmr49yzH;2VlRS+Cd4(k7n2xfy$hUf)nvQ)bN^KsV)yWed;4RD#F zc7Z23I~xE@vYmOEvhZ%-;?1Mu-wbl2&9^^yl7B7NN71Wigq&^(a3AS5W)D+AuHf9n ztg}Ff$MSeG2VOy}6wjytQF*?^XxWQ1{taVUoeY(^$c&JsG&-><>bWI$f30e+u>AW)r4$6jbU{^9ZwoM;y98`+H6M)(GkuTi zLJnp)e`y8Kd#it)x-a8XK#o`I`3v+%U-@z10y#DyAt2sYHD5^#G-dp|isB+V8+P-B zXMcBfe!C_#?}pTv9R5R^)DnB_pBC+%fa3aDE@r-#d4$zVklAV zmsJ06atrS+?q!J+t!S(BfJwt&QJ#c*^q+J1E%uYvH=f6JtFsfs3@>H6S?JbN`kKQ0 zN5YHKBCe~&L*ViyPM~XE>DJS7she*@_kjWzLjI3LI?82EIu@Tt#5q9=AgU>E&RTTb z$kuWCtfByLe8CT>4cE|yYTjz*nRYM@k!DHwZgWQr83M5n+>U#QR9p7L=No_b46wHf zmoNGL{!mwy2x)QEmQw_B<#2b-Km6`0_eciU^EJcGJOO<(f|9vCeL^BXAPg9)4MAA{?+*^RVw z=^_k-Y*K*)gssgXweV6W(xwZ*?QUputJV2ro@xDC;&y6180aSVSLbWgFAecY{or7g zzp74zDycvzU@V5h`5J@eu~uV+F<@O8DH>A0X4(8`*04yDtcU;(8utmNcbnZ!eo1B^ zVkV{|R7Fadq%lx(=o;L#3%jb~)rGK;z#rSp zrZMeWv_l3Afv;qTqaU_QaMAB6DJh^n<{WBx#J-%;Qh0%El%Z(iz85z~Nh35=oL}Q& z%lc9`zoRSxir7ns@ZTA2|2ACn>RD}xcLCr4@N@PpsE3OI2wL4LH4f|z;HCuf3#vV9 zxGJg%!lRF91Jaf`s-3Z3${xqxGsDX+wxrQ>sBd|$O(xsY?(f_iLhXVxg{>K?-Xy8a z`we$L`^?Q&D23n>)G1;I>sihZ-e)kWBg;=}K+Wn0>F^n5(U8X&Un z>#19V0h8V20IMHVumMWGcQUbJrG{L(LZdrfG{+>OAb`)6HCX!cvlLpwc3Hh;i`rx| z?{e118xq9KYzM2txFP?(J%E{v0s`r}k+Tu0wrNb0_QN6r%*pt@ZaRxMN@oWO%=(^= z?l}M@Cc>rbJum5K16c^+D^2!x^pfe{ghj9GYmt-Oe5(fHZ^eC7dco?sMWF?o3uz<7xoJ=DXD2nb(CYq`Ci*mVUxaX!lt zi0kR0+7j^i`}u(Zc~(a2oyp8TYuZa+@&98IDS$sWuIt&fBS|zAnyHU?;fOn*v-$-c zz?0Z2l_wGgP=gQIUg>O6dQL0B7fovmPKiA$JL3v&Z+D6Im$zK!WdVJDK3a3}bbBtsE>pP2fBSw9Ay9AB3vh1MnT*VDggA$5kUwOwa% zoL_QIsqz`DsQVeFsEpI8>_>J%a1=e-f!eh{mO=@|8_O;C?uX0<9i`Y>jk_lIA!7GD z_rG+KcTGO?>rntvAGI8T)tCF;eUl8IeLl;nCTL{mu|Lb#z#&x#WJ=)BVaxyh%O0MV zX1;hjh$S<{j?ngnw!SWZl1G{erdpFxfguA?cI&mvJETwCliRaDxZ6(Q;rwBdJ1RPo zoSi2WNFY^WWM?To8};alrLn)I51CTD2=z%8`~BTFbURST)@X7A6(=ZGUEH)5r)Ym( z*UJ4zdJM$s+(A&;bR-u_yI)5DgqfxFP~rPBRmpaimV1qh9cZ*lE6nn|S*E&gH+re= zoI~e+4|~asF)YV-Vclx*3(6~-+?NW3qOAGAshd?Z2OWQr;H`&UbT%n{x2%oa&D`3s zKuw^3A4=@a!{>o3Hv_+uo9hh_Gi)HBUU3z zk-PW6+)H;y(6#E&OPBwZ3RF8~?U|%ql^RbjL-7@RV_9ahMK(OwSvm6dpVLaRG!czrZJ*mS&DXW%rCD=2@(G)G4=f~M*rPIAR~ zmFe0oYVdhg!c+3zlgJH*@(;oUZ&_kG$N)!1R@T750e*<;^QWw|ul!jc1gz_$WBUmn z=|KK2#J-J-O>%C}1Joo&U>F=gj{k%1Th8GWF=)^bh zxexA+8Kw37Ty1d8JK${kTPdYqXMUOS`@_{nXtdy&EAkdRY{Zk@b?IE`!rWJSBA6V3 zNk?H1D4Vu{Kzsme<$=@K)VS`85@7`zR@e2s<8)g`N~EWXm? zAc7}ayq0kD=#M99t`0$qabsTe1i-LxBxZG&II0_PD!~$@g98?74XjXCex}LG;I0=j z1+Xfex=(a~^#}NWn(_X9wNVa=B4dN4*@jgIRWE00+6u{#Bg+mEluS+;YJ@;K z7A#AA+goZ>{&4*%piOf4oO)A5pXhGr&z8I`ck<_7wyB3|$Ju16K-tAodI0CJ(aCUO zcIg*jB2Zu`Q)(mOdyBSV#I)%Q#~J@HL3e%`=0>zK&_F@}AF{krI?Z-qSd7|2v{8US zO$g$8e-6J^S0!N8CL;rwgo!E;D1XGu3A}q@QH(wQ%2AkvI_lF)fotL(7|*S06@&9{ z0@YMBv0D~)zdhzG*twc9v5GZ|OH5eo6e zf~uenpUhfs_4k%YZR2LL6*U~Jnhx9O!Y!fzEYS!Do58Ak14c19LPP}1^C<``BO{77 zVNJTqT!A>i3Y=3*`=GCKuuQ7;)rcN@`w@y%EOwHh8-qjE8x|e)k4RD_F;7ue*q>nH zt(`kUwiP)(*(;u_&0y}w{nOHCxT}zzor;Cu**S+NG8OGJWvH|qA=&a3FI&Us$`;aJ z$9Ay9B=tM2le2r>ua=n{InLlqCgT4|i{HlJkXHm_7^L^7fDa^VH|o#~;@GFoMDmbt zM^&lCL2wJY-p+yj^H_fk8E{~GHmFg**nqg-_D5Kj-2JZ|1LJ&M;PiuBZY`6qCOd6u zz_zZ?rhng#+Q)!osWMr)$jmO)bvfSvHJZhY7($A9+D+=ghEwJ$Fn`Ag9PLt_+^v_{ z%7u{!k6|6a_AHRXjvdv4gCM{KwtytBP^zB+bp#RD@fIv_g@LTVZ*ODsN;D&dDk9uZ zT;+ql!UleQ__<=PKL2FTe>V$KCrlj<4i5faw=o-6iO%4}oejf{xoL#%6nB239SmvI z6F+&@(|F1UCY0=fG;SuZQU+it1SJ#b&BxhKESwd`Xju3cVe6dreUu}F^g>18DQfU- zc&pr7YAy{wdF08MPZXG*wZ@x|uE|T`PEuKM=nTIZ(OYrmtBhI@7B$!v=NPT`gWH>D zC<>``RHahfg%5KGJlZJ9(#49r8ysZENIfhiKg5f1IxMfPHLy;AFHqJnsX3QsL0lpA80XVnn~~qh}kR1F=5inkBN3 zfr9u25n;S!p9&)2+cOtkqKCBFxV*=zSP{D4C*q@H?q}(s+@CA3*m!Udj~=)|ij+vw zq(ud)ZjnMZMns`0pVI1a(L)reGE%DhOu}>j3e+viN*icf*l}`f+HH{Q3?X}?`ueyc znxI3CB(xN?Ycg&@awOiHHmF;$^2$g1pFc<2^jyFuqqHilrDpd*jSG3)2R}MBO)QF5AY@Hx#ld83Tr?EQ!$Azo~0S(n>C+HqP_&M ze2WAjBf?Ow|DLAKBO7*Q9~?jmU-BOa7bv6$2KK?hCRB-jftk~}g`%MP%+eS-dadYl znylb5hyC;X^B<;&IM@;i#TwS)IvGsC!*XUg7NvIYBaSJq$a)=~+tG{&zN*Us;-zk1 zka}+Qto!`ZUm(OYLDzFy1bng)hur7fnD4BA#3%1<9EGtthtTKW6ATayPvU*i=j3`b zEe;0qd78<-0K$N}zUeltaG;CKb59r`7K)Uy?SvK7UD31_w$gRUWM=&( zUYQoCd4+Kejw=O1TNhy6(k-90(<4RwL&*q|;sE&FhE*G7sSFx@9F_+OHwYN+#X-zi z$0JVGbD&s6i36?q7#|*}+>b#ntzIsQ$d}2+<6G3r-fN&GW^8~19~%P2PADE|U8rqX zU?LC`I#Ay}-hc%eGFRc;MwqK0Q7cG*{JSxTTv;hLeVkJ4jCs2GEUTRB{Bs{O_ZDAa zYxE>c2Hb-v9L9lSGiY$Q+-Tp^X6c^tg; zHD7CatI!=x>b?muo+(5Z?G}ROYo+IT zF~2_@e|)m8$j5NG-khjO8ZM@0AfBDy7oTi<6^u6NM#yb>h&E>YN*T8GxurT@iT~Y5 zXZ~kUw{nnB{>{CefJbSTpc_w8u_4Qevp+e)Fv71{Hb%#@M>~`S?~+n&dqSGmLfG!< zkhpKNFZfgVfPRfSv+WvxL}a8nksv2$klXbh7|5^&2bowPBHHW3RzmdSPzEaRl!I-R zH22SyGd*1Vkm4$kO0kfJELfX%P~}Nif08Y06!dYthD1js%zm&GF;%57hY!fW1~*_@ zKWA_L=^*t1XBelV?{F8;%!sJA18qob*exQL1u8Y~`ai7R=&jg2i*S|d9`C7?)ztn* zSXgt@P_?cUFCmB&vkz;7!c;|{(AoOs^Am5IRR5*_+sI2-&xW136Jni;VZdlpy2bm{ zcP7;`OklP&H!wS7Ood4+9>GLCd1UIPRV=&E&gzbZ#%!!mjgot>2Y- zC=`ye$!N37wiGzC9VFk7ls1`XlSHcZp^`zj0*OyhveVzdWQ1~D=i+Ip9YYd)!&)?> zVF0i}A+}i9i%8q8ec?E>As>p=1RlW;G7I6hg5|E3&?O7JDH#jl1WIub`_@!N&|7r~ zr?xwP;}Xh|bP&hA2_N5Oi*#My0kn_FnT{kYEBlc#L%EX7q{sN!Y0w9~d7bse!s;H%{O7t_8Ht|=X?hF{FMj#Kfj`iYVP@(qR=Z{X$S&)tSQ+FZOiN2+ z#^6r4!f9KLViV}ZG0ooWUH37-ZM5>iU(xj>-u^6?-_eQJ^4o$=F8F#)a4dmPhW79` zBL5~^VlVhp#6Z!0MSCSQm%GjBK(`iPlH8w%*hom>KWxjZLja0$iYRzsE%i^+BK>!- z!zLJkx&VA>bYE3(X*w|pw6`*15>Ae`X-F{YU=;D$Pe+#Y-}7k`sJ+0w6Yp`ffeWFj zu7w_UL)m%QVyI-W5E3a(4&xM8aY=E8oz4xVv;*A@;XsCkQ#Ta2cy|}M*uYydA`6N^*`vSW0(h5+CV51 z403zqe)fCECO-fB%Q-N0XvJL34D|Y$ zP!?khJ@%vQZixu0E;{(oXeQl=wzF1*l_uLE$H^}+!F_(GD@{hvcc;ydd+GMq<6H>q zWu>JTFV~a*{qnf7t=e^(OwsQ@PK_sEAWot*jx{@>sw4|5K?NNhvo7idFi{mvN9=r> zuzkddyegr)m{mBnqr1!Oq9`Qs*|p=tE%(`goX{hq#4xf)H>^2%?y1dhI3I~(9Cj8c zqN`F!+-H%4X~|OxXKxBiC`atmUw>=5>}T^COyu$+7F?@_I`4c3D=gx<)tCj9X#PxL zXnhLm68Zs5d8r2Wi+qNgK&RayQrziBhjkcht-jO~+hs_mmhp}aYP`GOT;^j!|I^T2 zjM5Q(mvdi}Rd2i<+9K7)`*<@@RYcX*@JA1XgLh}tn41ygx0s}zO z*SpEA>v8IIa&kUYR^`AnK`A}ayD#|8Bf@pC-hd$ZNRzx!-tp?G-Rj9R!FR5$c+KN4 zen~2-bz|zCZ8gC_rMRn_h{~pGE5(5+?EPdzOL<}SM?CYid757@|K~^>;3xBRx}e8ri4%o7wvYMHwic9?4t7K}%%GZl}4V0Hpp-$I5U|_0q z&&AQ89!^nKVbfRwa<|h?J6-HZ3OJg%KYNTUM5X$bO(Uh5Y!-)+u+zMDy!Bkb`Ry1l zF(X@L_4D}bgZh-mMqDI$+jf*2M`~~oq(5Evnp#Q|UOd;FoLqnNDNDtC6`pMSOwdS% zk+)$LA@!s|)7Zc*K*-PgYeHT-?eex4j}iRkEB^WgTs!$_U6zCdc9Ce__u<}*)LOCb z+J4G#U*BLVFwZ$`H6TR-5%FZOAo(>r!nV=TRM7eCBzQ?CqsS3DlPLN1^&e!@^zarC z`v+AldlKFh`nevc#&}oN!((Vn^QZuD<~a5HKBl3od;23k{VtBt&5e>|1Sx_{7&r&t z!4i(q70^aC{P_iXc-Rtk-Af%`Y@)5wVYtn+y#AN)Vx5UYQZ zRGa~IMhde49TSxgnEu-fl_&SlpY!wC1K`E5aVA4`-xa~N%U?R4*I>P`7U9^Nw87P` zWk$l1q3<@QHj`^M*xCNcQM$$Io9XcUXy{%Mv^_oV1KQM39VU5b6A{Mn>8U^8q4@r% z1=#4V>Hak5g&^kyoTedo_5xZ&7H+n?e^huJp%ZyD89dgY5U?<)1SsKjIR2b=A(+S0 zsS2-H*;B+pX5mqfL=~tVfBy3d#t6fF*P*+7j+_>_N(3zg_p2l+-Pg6v&<{e%y{tKp zC8xLWjzB6HMy|jE6D0R&nec+y_oG(dilDe^+c2EJ%Z|z{ezzBEC>ywD{55sYA6TXl zaZL7B^&0_ny>4#g^{u$lc_TjpG`}id0*m%x2};4O(&Y2LUm15jZCI%B8bSuv5qh*% zy>^G5|E1#`ueC>K{fQHqz!e`uG#7ZT>AQB&GF;YLfB_X6wq_lL2NSAa;gD4@A(%s!1($d}C-QC?tE8Sg!v~+!o>wcdxzHq@L28xPv**LVLc#tP z$3x(Vsc1WwNPDV|j7EA{m_maQXICN6j^HmD^f~T z3$QPZqjv-rB2_{dITRMTBlQ_D=Me$|0@tm~7;lYtH~Gb$2yH{6uC1ltrqL7|Z`t8e z3#F(#C9+Rnq?-nDy19$p@lwXE7)nAct>tu9y29!=N8uX%VFeOIgkM|Q~SGhx8; zKeni%le?K~Kvw>r^>`IvwfjhrRG8Vi8nOan#SqN77R zTaUMhNWLW{bkno5oBMLolpJ19?nJx=qt(t2H@2gh6HZUN&8oM&vD5YD&mz0t$y>)O}jg zFXv1l65gIcgl{`gj8p(POfeiD1%2J6qkL6TEjb%O3d8LUnUfoW|36y#WK2!;Jj1VJ zNBRzzaLPZ_6>q;k#UxSPBHQ$x-hCI7)6|V`4`fJ+kS)3O5BJt)CXbA^3>AQbG+m94 zz+PH6j&n(*&inpTUght$VC}YQ>;+$Pij)u34RgvPP$&@WAs9&^5}$)Nm%-Z4-*B-_ z=~eA;6X>}|PEqUZ;73kAMiGR8nfue%4Fi|WrPMUaT?Xm)994aWC3%jo3Y8WOs%>jo z{$?e{huhS*yup*D*wH0N+pto@9VsQ+W|va@kE}Bs$Q;KZQ84pA$Oe;y>-0nL$(d?1 z@X7zF3Iju@=;F9+i=RdxemOo_hf&0;M}U_Gs5@N$W`C&7l+=gdMae}IGu&T^8g?wj zTraZ2baWZa^N?s0dZenZHrGr08tJrfw$DVpvb0CUYK)zVimqnZV|8-+Tg?O`l~*`lA%^;n z@TW=!N8$3ap)>Sg@ioUireNJi7;3+hz{tL{ZTVO(J9e~Tz24XFc5#Q(ywFaMDv7!y zJ(s+*F=CmIH*HJFI;-UB>Kn+>5p)d268l)&kN(|Nw|!*P_8?@0Of zxm$<16pnO*9Z4r7M^;@vcYYkJ3tPk#vx^;|hc}q$LA^M|zN~@cL|t}yWc>CyRnufj z-!P2K{KChBtyHM^^JtEw98i9R(U*|Nc#p&~>LwUv;ZyxXy6q<)nUss;=sLyXgfh+9R{s=BM0Cu7P)uZ<*hSK}!%0zUVN#~bR^DhtW-h{R_)AjDKVQw6y#h+mJ zZ1Au$n!q&=TvtwYO>j;yhz=1tw<1%NeWEcf6utf2Fc^#DlYk^j)rd$duSVmx^oJCt zJ)dpZudNNP#(VcfvE}10^(am4fBJ3Z{UkEX5#h=jKO-fn?KgumIB zC3;?DV@udp`i=6*(6DlpDQTgl^tF1U;QMM9Vq(FZJCwUSsN5h7AGvq9^eR!ds`Uz8 z@3b9w##P!7jOn%fY7;a3F9a5-9-PM~Yi(Y>uO@4MO_pV7#Zlpcr3sVS(j{4Kq(e|? zk;!V61IGQ%kv{tJ7O{UN?Z@ioeg(Fv)|Jp=)yIYW!4CT)?&GkRS39S~tK86@7X;Dt z__(c`(xB~T#A$Lx`{Z`sy$kiLvOMN;ckdQCId(2}LLlpdm5Y2IarVl;x?H9E?-#iG z=X1>5AuMjTRW}iDjs?}f^yHe@S%82Jx`DKaNms~ zH@)wkNZfZBvKlQGd;{Nk;sZbe{Fw>|uacN!9tQCqH z>MxuZwigjjgFYjU%02YTCSmQt*E{pc27S&kv;61v_o>V8HdB}P>?i$u!Q-$1XP^;p z>?)G^sf$QrnaRZQb5Ky0&v#UE0i&PGwRqoq@g}HWGKnj>9R~VS{HiYn@azFve1p4J zxAbg}Rj*WI+a-B;SP)kq2ic2;4W_Y{;8X9XkgYY>O2r$C`kCzhb|UJ5*PDHPAKe{j zA|^EP22L_%wfQdRUjHB{wu7?j9tG?eU?Ar&R!8<#1#+RU?jMm3-616syADD9DzdIM z{*@I@Q97T5qDHRyiha*T!ODhwzUB-}GYcor`HCFXx&ry{f2!*^+@$GpXwp%O=a89# z2qj67LivS1BMaW~h9<(y%l~qla1Kj06gO7R2(E9Q<#w}Zni-GJ)NVK_bMM2K=@v^? zN+YE~0lSstz+^8z+cNxxcE|=YoN;M%8s^y~ax1Y-=g5%E?9MZ@kib+;#L7IVuoqfLccg zI94phz>mh%;OoBM_4!a`sQk`kAS^@uI}~UvpuA^`-SbqD3)y3&TteeJ=R9`MwcK3^ zu_0oY!f6dv$V%pKGhA_P6i&LO=1!71JSd~HK28Py8_Xv`V6Eq3gbj=PY6Z2jX|Rqw zX~Wyha?y8JXfvgps#|N>I z!Nky@q&(t)=xq-2cm*MIGJgDCmTq);Ld;;W9}P!W>V2H$g59tk(NZHZi^s|c}^ymm(w_(E7j7IB-zp4?b2sCA1^1%sa_b8 z%e-V!`mh&70ci1byfA}L3X8fwyvLaMF)HW?yChFxt-b;3E-1)T^>%v+Md&A@D#~iM ztWu`xZaKpj7lp;;Tt^+WyZl)eEjd*__~DcvgG>gBdy3Fy)B|~DSKC2u(fe^4{A z7)kq5+mCP`?+3FqyI<$?idyoyoX;`!f9lBGhA`seGO77puYP}RobH%V0QaxW_2OmT zaZh_mz^H*1iRMXqi)vc8LJJ!yvpKYP-6f}ps4k-TJEViYc%5VD=OSQ+s~qtPm;ajv z00{U37I>$2!R5KiRyN&8l>PnNGpp(0%Q}W&%fXRf-sR?YQ9OS+N$L$}W`?VGMz9j| z$Yh~TkKT3v;a%^fqW$|fMOvl%n~76R!{aMtmLu6D;m6<2&o0diPy2V4`|h>`FJO|Y z(HvX3;Y_djIp#ft7AqNc{h|cfvGP?}er;Ry6g{h~R-BkQ91@md8*)t(z>gdplAnY9 zjg3IF^4mB=jL_j0tR4*ht**K=qFcj+xNL?7e#>Q-Cz#~Kk|A^HK3Z-GDp14xWtKxHv6^lAVN1`+FJP)N}9=s7{+hpi%X@6Im6 z_4g}0*C&^WUb};Z#YBg08kIXodP`0rRHE;wF&LE6SUVrPpjV%|Xg|h6sj<4qh)1D- zh^49}WhgHk9+qx)s1xc>_eX%OV%D?*XtU7q7&cuF0N&A}_@O|AyUyKc~Z>gvA@ zk|n(nYfdYC&(i`+HZZe4uqE@Xzy;jLbOoIxaaVD_0^c3|2!>a|Rk`_~In0X2dm8Um zwUzi>p4N2<7l=(aY3HNT9gl>|=5Irg_zqa6?!`Qq)wxEDYz3x3iQie5`0WLviFleo zf(CsWn2MR8tEevmcjXmRQKzSW7sstG2!DktD~O`^gPu1l9Wa=FwaD{nnGNx1PrJ#6 z6pfg#s9BIOd;{p15a>{0dJC#H3kkk{xx!gJPiQ<%*z2{lrD7k_h7=WN3?Ls;*=;c$>6^s8OHP6|acPB)>gT zUU&2=D`#I?>u$2Me1V>s62T%5Q{!h4iYBhc)M8RE_UMedymBGpKJ zvG?3w4Q(80VyzXdz@b&r>YkZO$!f~0c~qoi?Pix#LMI_V9SJ4;-q=Gv4yLKLlNtAy zNH~kz61gJpu)ZX`K0VW89r~GJ#9)%-Qbn!2*vx))=j_sl%YTo);oM-v66s3pbZ6*z z*fIYi@w@&lCuDb_l#AF*7{@=dEC0vCUf+#hGD>eyxUd%cLd06j!8Sh5q%0B=@O z=+9DGV8e-&p`ci+$OsY)2S2m)l^D3TDX3#(;$L=`>n?fBefXhrzqK-dmU!WB*}YDz zGTPPumeWDY;73Xh{yOeDtf)szI<((8EHvhMB9lI7<$LO{+O9Dx+P7Gb?}H|OUG6yA z-2}Z$)O0r;xb*saqw=(v5JK`_(j$ZxVf~2LY5Q_ugsItY+7m6x%4~k?tm{xQ@q9Zh zElNiA5F`v;r=dh0EZiWTE5z>jTYi>tgBFTJq6gb&VtA)8drAxDb7-SLNv8S|1ku1$SC4vAz)>30_xa7rF{DL2VU2T|v< z9ry14S@oK|P1u#^pV(R9teT%kJ+u7whu4DAI__nE{ZQkU!aZSuQic#1Casv7-5YXA(y;eo(`lPGU6#IK_96e zy;$%5(K5tP?%sZ$)&&kr7N!$N3kC{2W!}Ku*r6YhC#1^Qrc0JlFl9DF=c(14=%zbT znk1U5q~&>J&H_(hBLAUNlC_Xg9;}Q`MkxzR4<9#p){)cx;QuR6O7B&AhjukkW<4$D zD~g33yM>D828rsYa9j2-fxc4VVhR4oN1?(}E8i44iFA{l2u=yYY6#t^oQD0*H=?V^ zt=qRJHfLqUh!FH4AL#j+^#MTrSpWnZC{JDh7QjyZ#p&<;uX#Lsp^#u80RGSiEFpL< zKcqdgGatwwy#ocs5%8+rtF@t+>QpOtv{7L4Tlg0EBhYF6#5%1n_RWm_FE;iUijW4j zYK3@=_I9M*oV>^78*qlDJ}AmlnnzEXDa}H4zWa*jp=SHHU9h<4N265F4$8(=WoKZ@ zL1WDKJlwUf6&bHWVj?)satP}E$@2Z8x1P%EATs_JiQ+yvWZOU$3iaHG4%+nqp{IkS zS63j<>u=f$g;dLz2Vd#qk@$8|dgYya`|PI~{mOC?+(LQUy`rPL`>%ON=hI9VEKkVQ z4ZX;W9eL^otaw4U3Xcksbhu@V{|1ui%SNUK@c9|xi}fUZe(KpSnf52zg|OTbITtHD z|HlZz?m#CPcC(_;Sqy*K6OXVIW9!x;P<=n~YE(TwB=Sq)@ygpJbARf$I?T9} z4j!Il^1m&uctY17Y{<2GlC-*eQ@QkGC;bG5nVeZ-EaXWqjwauJ%ZQQp_79Sd3g!&SxJB}O) zXw~`+(*_P&Dz997`}B1r5&2pz-$lSvcQc1oRO^3+A_8~q$u$rMLmG|`dO-`g-<*%f z-}n#7uM*IT3}iUlpiln0aIGc^%M9t$TijZ|^OBv|IutPE9somDc)J*s4Q!PL93T5% zrg3~`YT4w`8Gf9GZNGkCyB-J2+Y~C$8V%c2FZXe{k~f z?gd~beOEeOQ;?YKVV8wZn7bwU6sA!dG|X??iqn2%=hqh2OtuZV!ah{}%GZHipPAsj zW5Yd&U+zIMASCQ29Yq<1Cdcl~z17K-e#q&|bgJHR>*Ixl@4l zmxHhYX^zF}A8fBp7j@GQy^=6;vjIrzM6vSn;uJ>Wxf%hjBTEs z+SOI0SfQHUAOhm}vf$teDLm~DL{`2X{at?51f#?#sGxjrv|)7;;)c!Di3q>aq}uE+ z|IZ~{l+Hhyiy1VS*=)e7O?9k+`6h?%!Cyg$Uf&PHcn3;>Aq4J2zSBsRYN3DI&nL{$ zPmGnZLOK-h;cU1fU;InZazy|p+Z8W-kSeL+xu4Q5SYF5A&&0)^IHJ@naJZ{b_G&e) z(<~01;<;~kC2;m1h5CzuHx2A+RF84qeM#>8+9kG$mdf^ zV?{B>_Zq`RbCa}{lZkjWq~~2PFL%~HuxDtOh0W@(-4$P`*-m_@>`n=6)HzYVXXcK7 zC#>EP6)=%KuD);OaZ5ldbGq7{oOY00G+9jXr_f&}ZELWb{NUcq{29IrZOs1vIKe0< zBURd4UfUY7L-T{`n2KUXO-Cd8fsz*JqH@Lc``1#nptY7(_4@NuyOuj-c$mPKWBpox zVPP-cAuXsH@#gWV&b3=!mySp@e;4k|9)iPIajUTw!_-F*52KB|A^Ycn%{=hZwW6bMqxXNiB!m() zb$8hQq`L&J6o5*BQLD<+CY@rADH1hxPS;#e47N179q1GA$A1q=O@rjo|LQR9&7lg* zYr?YoZCsoDC&ijoMYPVpy*h=XRJuoFIt!LEq_EGU05K{mM?}6x3j@<}o!SbsgIlo! z1)&I_3@1e{)~ix65Quvn*hv>E{dX~kk4&qleG&gCZyv}!sFo{z&XrRs<76M((}4c# z)EX7YA1#iMskr1fH0Hq3x$Yg=Y(8f(dYAGf_inW0P^;KcClr!NrrL;xT+ztAbfn znfi^!=dw!Y$rL zW~oRX0p)yJxHRaPiz-8DsLEBb25m;+Y7z@nb83HkH3j_^%G+c9()M}IJE%Uc(YA_=bOC+o`BPz zjh_De1Xoz~{ls4@Ga?@+hb89qki|*q5TY^Q12z%JlKpW(hbvEMR`iqVKi{ogmdoQ`BK4 z@z}1<*zO#rmM-f`w^zNTkN;*%11G1NphkJ&WK_j&HhH)P6Tta8RB7O8x5giWl9Yu% zb&D@yJmYN6FTPP}zYh@)b1^ieFpvtkq#?X|q>O_}%0kf(TXg0>%ZJquQ_c@F=>Fm) z$lONqa^d+dAcl}RGQVGx+hU8f;J!;fCMv(vTuj zX^ilZ^tY6f9BlI3GY0H@`-Mq!^rh1#pwc29JT}CD@oYjNVNnmNLi#-=g-yxw+@Ezb zo0o2^#I?fJ+|gU!y#n0ypyB;<{7UrR6Homp;C*O#} zshH%P(EqK}y#rrXcjT{|QYl>YAJfm@9Jm5>*)M2d(vValF;lIrZPP3E_o1`u`n|SR zz$$_dm1^O;yGARIpX@60I4XhZf<^-ikf(gG9T{M z;u_G^a=*3&<*pK^LZY-0AiOZ>kzzEZ_GQWe1x+kxd&__xUdaEovLYmvfRVb6rr#);i$Hs>xd#9WsiJ)o-U)=qHYz5qIXoSCS{i?fcI8F+DxRlKTWYr#kcc zn5rW&urG=Gt^T&3b?{))Lcr70lW!m1!UXdFO9{@(`)F9jwARNwlCYPT3skC>Qux_X zQZo(q4ZiZ-l<1+=2!Zd}Ed@phf`R-^36n{UPT4pY3+0n(Oeb5zI#vJGiZ!&k=eNl0 z_0f8Q0AZ6!crita+_X|X@P6u@*~hhO4rzR`$h=UA;DRjsyDxlQGDCpj4(U1|AwF{A z6}Szqt^S9C_w-mXu{pGM^q+YCsc{V|t3ruw*@-61EhTtBXrv%WioMVen;hKh-M;-j z*QaqU0E=k=h#*{P_~9pzWLc_M#~@Zc`R)|?F{ivf-TeMZU`Y7Kizo@eq9M`|L>-UW zZ`fLs{}T=cemY3gVg0?|QHgu_jtiO9IJr z4Y;wLYTRz&-s+#(f)$h|(|EYlV$PyDtG!K{E)1H6@+PD+Yt57x&Ax~|ohKy~d9M)5 z)8&4Zg(_2`?pTjvRCi(UE1cFuD^o(AM}Lpr72GHJ*tcb?5)+(KkGq7940htmagi#@ zuAUC>F7fgX(U))Vq=h4~M2cxvi$&oD4W>8BZdFGe@VyRZ0UB+wu#H3428^zUruPc& zq}<*Yq5n<%cP|sa0_zKsj`Z_3Km)61NB9X|)ZKS7_LWqv4HuBrOSVu~&aA(=()T&6 zi>ZWR!N5dYa>O82%cE_qya}IRUd)5a?QKY*HYuEp={(?i#_9riWY+zv8X_W5XtG@n zqjoaI31~19&|TWTMNFi>#Xr*p13dO;+lH!;pivX{5OMYp@RR)0;Mv>{s5CwTi8qSA zy}c>-ta4;~&{PUgzdmv$6KEPt^uQGwX;P6Rts5!VRv~yRdyQNaU3eG(a35TrtjBnI z`GsS+fazqb7r@>YUthJBTwhjltUsJAl~)mZ6?h7_w|6l+?)06#aGNP=RYJ`IRWl^Z z)U1+`*&uF z`7Jas>EUtp`ORSOnxM~=G{@G>4Q-X$L6zkQf;8_KN@Hv{DA9UIuPjFpPSm4|Apb+g9DtA+TJOF!T!Jo>;C)a1V&x>UBE1zp z(&YZ_$8ZBEKP_g3r&Up0dtnm%!k&b*zH0}iNj>v@J1C~*xzyLIOtog?|2#0!)G;zx zb)Oy#Jc{SP?!7s0qUZ$F1d+hzV2*#GvHL`EmD}?=;!3U$7XE`!^7pou7Pnmw5wO3+ zce+zq9LFS=NI^Y=mEnVtT(f*Uf9{?fx#ISHyVm9R^TCo8WkdCfLyeCTBNgeT-^VTm zjxQm^8EHe#$*$*fp*05H#`b9QVTdyP%^ov)k}Z6lL+9JI9TzzIMqBsP%KDjMQvdbd z&5*M=$AYPoK(x2eT{|*{MJlRuVl)P1+m&cGC5;Qpf_8>nB$Qr)YaC(ZVw)-tXI5M# zczT|!EA|tQqz`wUnb44?^x=@;K}l5%0(Y%BRGDXN%j>#5jTLiPl-{D40%0QEg}7Vm zA0HiYs?vg_W#vTPpVZ zFXrAe#4WYaXOSOXUUk#0MCjGi{Wc&c(CJ3oOF_;d&v5Wt4ZHijW37^+{EP7=t0CYX z^&{ocz~w*7jJBN-vdC}%pI6bFW>0{**gGq3?Y7hzd#>#!fDI(`?0#E+L)-%*j8e|X z1A7;7e?eq5kGh6r#TzDGcKSS$z}g_i_4nn4@W?nf*H5d#$=b$CcwB6MX~6nTIi-xU zSd(zL{arOtov)+rXQRX1#N8W-!U4s7zz;MHkCh#l-C#V02`R(O@>e4<2inhP zpXO^yUjw?IB4NS~kfbK#vZhPF{-17<(U_dxtS$yBBcmx2;XS@msgKWJ}S0sxS-hR~Ztp zO)Xh`pk~O@XF2kh2jHIK%Idu~-o_l0MDU!;a)@k@Pj57HUD1Cx=hBPmDflb&N!GfI zma-%!@Nrpyxd_;#gmgZC|LE@?9kP&+ZssBJ1G0-DH_P5SU+)Y)k|LRnp#`ppV?pD;8Qiq>!HZI^X`8R;p+@TYqsO**~m{MXI2G| zZYLidol(QdnmY=xaN(_FlFLkE54Zs`DgvmsLF2_hv(QJk4&KuJ+}|DO{7kWs`|>Zd z6o(Ux=F);*rD1-PSRV?$a@dyQ%)-p)Hat=u8k3l!2Ng+*NWzGWkN5#yD4T&hpw0MG zyE(otMbo`Gs#EPTL;mg?QjNT$tAj+*H$UDkE@4 zA}#6LzJW+TR*o z?f?FwhQ?1@QlB%Kebtfes0*t*#JJ}L)IosKxH_c~F!15#39b;G4QVVr8i}(Jca(}u z(EDl#txe)kA93Nb{sl8wSoQYe8@jaH&mxDtf)e>#4nDZ5u=IEu9klf{5r1yU$v?p? zW@n^J-%a~d>XgQZR5s!-VVXz$xCUG%`|xvF;`)*>Z)`Vq7Sms5ssD=eMqKQv<208< zEv5NU@0$!N&=62wyK}0$2RxuAqX!#tzNJ$7Ve4mRim{6u+5r7quK@k`pPy#gqe0cf ze%)f=Bo(U`xvtv$ioRML7o|RpsNS>VXo8!xRE^n31(PjM%e+Xl0n@HTfn()3*UwtDa{TXiKm4{^`7JR|5^ayy{5R)RS-2irmkP7_+Ua7x*FKBC7o-*1+E*R zC=`r5q+z1w(UIcbReL2RU2gu$uz#l}aoUo1bmJpmSn`_1*hrNTSaKH$E*ENXnO`wS zk#nqr+#=Dq(|khx>0zc@X}!_EN1bqL2EqWH2R<4}Fp-aG*j*t37eRA2vE0b5x~6VF zwM_k{*cxx#GHY$-#H-W@1V$W{QA8hvU@-oFw&ZSML=R1Tx}avQ%C~gjQ4D?>s2e7cdU8L8c4Rx^mjKRJ-;*3Yr*XSW92HYXQCa$ zLh=L(Tk|rRVSTDd@61}fPS^h&+Z*pL5pnMzVs}p9?Dr|uKXhscMq%y(Y2jfKGY(qr zB%|T%?V1t0h^~bm;nlXMC+~lbdu$QXoP0!?ds+suH5;v3RS5D~5W?M-DAy>m z!}Jv`LSLDk*d*$@r7}WFwszsv;~c=hwU5SUyVnbIu~Zc-<_6=dD#HK9SC)Q(XB%0L z4;t)LD+4w3FH?xU8l!P8+xr>aY!XI&ijuUS&)qAzTwbOJ!4HqAksFOmmZuN0#dmeG z`7A3G%4PmkmiA7g6bkfNz<|2lB`GrLc@e?Gcl)o&h^V||xze?Q_6t!GYn8Xw!h%0& z$6_qO$yqmZ&=(QI1E}<;7mXh9e9JI_|GZ&S+Rk4<8=qT?Y{tP0-MqMqF@#>im%|sgX%^r+XO#^)FiN zvhy>OocPbyT_<8+Esu1cY-UtS$+OT zlb}Ve2oQ(;aeZQd))*S&0h23~chpIuHY^stAhdGsn-R4omNLw_tH0k-N5%uPn-C!^ zoKT&awLStar#hmR=t>|lyi2c|B&Mz$ooP09Ppz_8$vGpd7~ytIMhGGdN#wW70IO+A zWpK=u7&z2gJc=u5O~1lWNVMD=f6I^@*738D&R58|fDMPz6Xk;Qe{=EBE*>(CdFJOupQjAgvs0eDJ*8+~V^3Be_!6v4x+pj13KO-|ayvYkH8>xV*(^S}}6Bb%k}V zEC(@Blyi97+t!Td+LP?+=lb3Ss*3q3{gNMx4pyxH zb~DU19@4SJ*SW0na(M%9#7*yb;aPj;*eKK~(mGJkDBN4?JRm^lj+ts=(Hn`L6SE|n z07y-xO6TJMY!$9R$Bl!}-!a%1H)0fuJh&F$aF0Rp%^gS^vhv}4%re$yw1c@2PlTD; zzqFGJZ~z7MFf%|QHDaakitX*_6yo;&5;SBT_M5jtf^@)uN9!_baiI_2l^!>CoMOP> z^dZ9lO@}q^BA{{A4S6rk9<3PR1qbKz(N&f8jv|+i_(tXhl?C!1%EalMArS`6V2r24 zst4#L_H;OqZoULV0K#_p=~p-b0H2G~aL<(F`hSZ(Hf zII({YzwfZ8i-^pGR+dLFKVA&%Y-30DF5Szhp9wKnTFgB)&W=!~WH`@jn3!c$e*JHk zCZbw5DM}lTxp$J}Cy{9I>;ckyY3b6XSyqMJ6RK*s3x)ZZSbWOHm;~+E0#&XOD!K8D zKj$KBZI&Hh8rB0xQ0YTILT$OGmYU;k-pqewoLwA*OqUQF*Zi4aS>Hnh@Fz17(Etvf zHmxIpIOdF+E%o|!@5}}#F)zLZ8&iXpq<^pMSDI+lm&Lx!FyQR*-Y8UxPP^8mg@+tH z80#ggD&UjSpNm-i3@&t_GxBBEmlinQZcdpQ$X9C(8t`1b&%V*hAmV!;%tSF@q7e&H z8~X5JE{C+Ew;|)N3ku1bPM%(!Zez)0<@AWs`GGJG5*ZyRKVedDLl$s}XOBmW2(;si z{fKor`4t@V{zTpS5u=1h zl=SYi$Gske==XIq6B>E9O~W6YcHA|9o|72-EP4KX)G|7-y~KTpVjzh1zn)6s6Q+`ckzosMx*-h zG=I+6|4+z8msy*HMOmJ~SscfZsdTJ{q*HJA3ws2vmaoUGT$F|ortFVp;-k;szgosH zeYZ-05TW|r@|>(+@**5xxt`IZDH7mRPf_#ssC+{g>;C&IR~ohat@RIS| zXEvRrWaF(MEyK}}eg$~Fm}||IbFg-N!9e3oZA}f*B>3 zW^L5Tqo|IpDhUg-$CySu7a&vaIl2N*z4tx`u6C47vR)C%!7lCG458sv##o|{gS!@g zB~9pw&`Te|wzSABvG@XKW-?hI@f0%CU5i+a4>6QbOOkY$Bu@F(*4p2&G8mx@ z^(A-Pzpx|hhnN5Zx2KyMicWLCqVrnuiV_uhXh;m0r^R-k?kAp61@~Q{!CYZCdoyI) z>NF-gWD1N;M(1gx_A>XrpH!M`bWI}^>7WxRkt+~)IT4`vkcCWed}|JC{ZK~HR#EW0 z3_N);%@Ky_8lv!Hf2`pQ`N&Ln-gaj`)uBSFESEAJF}mFiQ}f0HhK6Y-YaJ<=~^%<}66 z3V|*w3_UVCC!;+7^R&ECL0sQ0OE0++zwbaDdMwdKm1aD`#+SWs-u)G+Y4;gr6&w9?v9Nx`HYx5Op{l?WjJdVHDBchvdgJ zsF*{j8t3?!Zz>AlI?)MLadAUM;vwUXn&z}bOKMBN`#&Np?YKJ3hs##H=pQH~0?Hz7+0`PFMDfvv?0vTNm)skob3U@(-H8s+~)p{F#4 zW8E02Tg{w=nk7X~5|ZqmT2idp?40h9b_YXu(q_=uv}wIIC>BUa(d^CePg|!JO5Kxp z>LgKXDy!);GZzItyZC*oZXfl~+8g}Kjjzwjch0-%t6s35`u(;j_afioPWOoF=P!hm zA*>hMJe`Zl2YJJRq>h&|YV0N*$}i^^ou-VH)NID5DiJyQj8G;f%e?0{`lQ1TFcPu423DLV3tqn;A#Sn`I5@DU5dwe-C2O zb@-GV9I`tlYs-o3L7oDr^nAXR8KbKSPY#$1&`JJA2 zAZ}0PxN}|$r1QmJ7rWQVAZIy=SXftO_dd%KYL*X6M{){_#;*Vv#LnP>i)A^=sm<`p z>JV>F_q1`)R!SMAxBG*vwlnNW+Z?bFaUFHpX6KSXn<+jP0+948fO|E6%7aZax34#_O38u^ z+$uY~53q;C;(rp7ojnr~*uMV)rgi)pu;90Uh(1((|3K{JP7V*R z1qVrU_T*)3T8CbCzmNjE1~2}XpApAf#J(1b0RIER#W4YNQ((IOs=IMB>%;H;@p=ci zia2Xo#kM_OX9T}G_IL$3y`JMaO)dNsG&udG3RUnTQPS~1?vIiEn8LO-w|Z7dF<$>L zb1DN`D5a0=PopAfSB?g?m7jP#aq=tMm~QbN{k{qLtx11VBt9;y{6`N{Y}$U-XGsGQ z?JjPFy}8sNvkffFlq?t>C@$>|H!MH0;jrPB)C{-kK2VZ8FHonM0s;eIyU)I^CI-)% z%Kecx7-p2!$Qb^|wv*ycF+O{h9iji!wxXZe7@f6pKlOY^e0MCT@oe}CvhMHrQKLhR zhYAdvD1$|^KNB1?arR{9YGN9au!`yijV=$9ooVJue}Xt86&ndGr<2%-Os@wgRL`>& zM0V~^H-)L6AOaG{>IoSf3x8y@rG|a@jp7a4cdM7V!0Y=eCiA(t6|q|fx>bA0XYr16 zBZbD4l4d@niNl{#3qcZ?*)vLM0_7%wAgUn}lGyZjq`@NrhcswAXjr(0trcKh}t% z9p1}V4*`F*wt`^`3aNgztYZ@qNU#6+K?Q=-F39# zXnGN$yg&}vZIG^|M=6duTs6QeIG$Sgei8jwFl=1e zVq!EM2zLxfNaeN0oW~Jqlm{8v3y;o!2k`Npn0SjyGg>Wu=qT`Cxn7;Bt^tg9mc|YGBF1-pLN*Bg95th4Gf!lU*jM!HH{DF(x5#!)6LT^lyjkR#@l@u-Y$VIq&RHxJT zxY{*X8@(Q17Y%O5f_y6mI2HQ1JgNSr%N#k;^{M}e=d&bQ%eU&I!KxU7(?Me1GO19BWKXUy7e{6MsDt4w7AV9IdP2^UP-p1`4gaSF5X!+{<-MV6>2y$EyoVR{ zDB+&I%xZ8VmFDjc`xH2HKlkf2ssca$ut`}PFTN;v2fg%%@tbW4?dr$oWE#f#kygxGnHytS835EGJh`y?CT z{`yO$v1qn3f+m?93}ze*AMr$)yf~i!16XZE@*FCOkcU6t{)X5234_TZnbd0s+4t{|1+N?yM5?ohNLcDM;fZW2x`h_({M)qIOy%qcZZGVt0G$+ ztD$WsLQbrF zh05|#15KQn_FT0jO2rd3o)H+2EBncDKj>PtVREWV7JW@f4{oo3cUBD~#{F}#biQp( z;omazleZN8gdsFvshWWhhECy|5R*!k(6wV}3Ef%W>rS6Q&j&J-!&fdR9)`y@X? z5cn+FD^RT$AyZ9mXlQByEO26AT#ejocAelgAP+mh7^KpM^V_s=s@td;$)D>X?-p0( zlQ><2>&7aRmm+=WGxC9R^9oBN4O#a7*O767*PQA^hyjyFaaosISXh&uot@iy1$@SK zjM!aj$X{x#zPdrb#8%o9`av?_NS0APs$MEr#!b=~*A%F1hXp=oIywA6 z&j9v5W~th$g-s;Po5rR0r(06-E*pd7CbqO+I`d0+&FT1E;Zprs$p>}=Y2r&A2nJ#< zIT&_fi4@ZuIC0r%<21bENHV?#eI6~j%zjk&C;v!>fqZaSFl@IW#Y0JjOo9V~a&^+l)O``x#et22O* zOmlpC9t{(%ap!F?To~5fH?;|c316z|^Dkid;?v;dj7ZNu{WnI~TzsT@U6ejie#%fa zg_7uqcs!s6{Yv?b8i`KC0H#Q8y{3Ijkzd0oI4XVxl3YTSbjjKmgRvP(;E>M8pAs@Ls1oy2I<#<-iQIzjM+VX zhgt`D5r#7SnNDoDO<~dW^nCZoxpLiNQ7srQtWed6TxK?Px*6D6tWCS%_Hoi+>YOHL zcZ<*D$A1tj8v@|d&P==#RY%M8xYDn0ZA|SsO5TrhT`il^!;vGxt^5A{Km!w{QKG@R z;E7vHAV4o(sl8X^=cS9Auk;?eJIeqL72@NNhVM}0c;txYZ|?iARaJ~$K!DSC>;I$a zDx<1wgY6-ul$7r7ZV-?ZN$Ea>ba!{RbcY}y-Q6wS-JoPg2njZx7K$JTYjbxqhy|&hyI0C?7k0;Z&SJ6CHd!2h7yN8-TwrAy|~)BvfR`&$Jn=Ii>Or9kwY> zmZlv;TB;0sL+ao*RVK@OiBSxrW7dR%K?p%}AArXpRDwwr`6KKtxx*TZ^WzM^i?R>}>wd4?w-&Fm{RSVjnXEm+smVSwgN2WejkV%N%t=kYceR!i8FWHh;}2bXQS*VB@h>4GY{$NxZ2a(L7QYXYOHsFozAG(&RH? z-#+_V?pqvMzK(6ZbBA3!;dMqhJPga0TVJZ?N)nU5sa^GpDtqOK(9F_2rB7fY5jiyW zz4RG_)s*q0Ri`O3sQat8rIe{vrd;zTq$R#2D=TWvnH4u^&Z3{e)byL`R|7d`zT_TG z^0+TO4-Jx<-WG8}vP`>loMypN-SFx(YP#`H_7gD*JHxKt=%#}cP#^$mo; zA5X0E{qx$eAi&E1`=ej<;csGmVo_K1*eY86;o#E>VnSl#uNAiQ0*Z!+46q&41Vw+K zJ3MA~c!hn@>FG1r*fjm88I<))jye+tBzpoLSD5=$slm{nns-k~Gd zu3UzQDEwyptF^Zj6l1hUWcxXqliJBDy}#vvP>O+&+v!Xqll!J=Z4tLzUHA9NM+?4RMJ`_T~_`vr=* z2(-TlE!wL4xXtL5Rso{|Qmz@Xs;bZEm}bH-np}uS2Gh@I8+x-71rD6gX6Y$0%~-ir zRaGs0U|oS3Ivo5XLVG3?-}`cDPA+=5am>Jg{Q?@;chJ<*R$u$auQp*6Xlp`Ft^@uw z`YQej!jb6o@AjVdxv-LNTnGk2LmIKeVv8%y%D8kcqO%#8U`=AYd76pl<#vD#qir6Ans0mSn-w zMtlchB8Y>S9Uj7fcOqBQGFf-BeXN(@ZpmZjN5(F#V~{Y#owGQ zh#SV~Q$oY>O%8cUW+WiKNghOldVr+UyyW6dJ!GR$UTy zXc-w34^Nekw<~r2pivKFuJFwWB6os2S&&65A#Cy}@;fW<%I|JI8+(qACPRPG8%5(d zd>}bY3Ti3O5P)oHpAK;33wJM;nnEFn=F%bz3domma&qdgI%?JGGP8!M{fZgTDzh$w zv!iZ7Ev=#(3u4X5!SAx9KeGFv9AS-4gg%N{ew~I;yM!|TJ^rx#Xbz0CQj^yvE6gkr zRaD-;{9B5|=kwvaXVh!@oBP}KS2%@1@zH3elhhyKXNKH&^D*+#ZkY(KoJSm37o<+v z-=cZ2aW+(fQ;4%Tp1h9A>+NILW+#In;r79BwG*a8ZvHWXg&$kjyt%)zfDl96G#Is7 zjn+DT8?j{{I({8JZqN!G=D(z7KEX|9xU!&br5;R(KrS}q&gi}rB#%R&jR;s8HJs{% zyTJMgn$Q*@EmI2vwE;Vfufo2Fk^YSmMfdHG9NODPjR(n+{^jXl zymd=3eMvapcyf3=A?X+5C!1zqQvZlMZ_KE_jfh=_h(HxLtFFC}Yx&G*au410{K_Bcd;dU4 zPoHkT73{pf#eVj>`4Y2rZ;s5c=3`1*9_u%rlGL*D@^ zJ@GroA-Ob?H83TI+#zx270Ehf02`0x6D>{@vfE`}-B3g;#PV!nV}njBV<@HCu#*P{ z=T_({2QCw8)p!)bLgw}M{Akh-%6HpyTBsrRZ4ewZ+|OyeWR{CZ0dx4XjOlE()OD*$ z3CYevQkQm%_*>dfrIarwN|D+zf~V-Mo;&t5tBqk5Yt`$iXgIKLZ52`3%08WVgi%Bm{=`4!I^Uc*^l&BG+@m0Vo`=HaIt+z9@Z)QGLXkd zBwjkE7#6w#hUOSC8*jyD-@H1O{-lS~6sA@eAK7_!klB?ZzX(Z|%Smi_zBBEbnRqyF ze|?Ip>s2ZOTXXFGJmNWN$%-N0wN8=HGBr!3wyT1yd!ag25m2YE`=in z>YYl@i}cRl#YkSh8}gU4YJ)LPNR1y24e|(lI+r?mKyW|{HGfQgz~GUVny1cvvrL}a zkOeyLTFb!>rj3S-m?n81wU6#gdLI#`Kxb%mH`LYPtpz zmDonr8|vxf^3(^nzWcrVImd3j1g%_Wa#Tyo0wgY)KMz8 z3xsg6OeDpN&zJ~Fj4&B^#IBoA(1r`7xn;odI(|8xStNRHy`Jaq_Y_nhBjkobkY z!-&;FA8&(>z4iF1f{4`W_2cvJvfscJ0`DcW?)MUKAY#~_l3;``i4Q}QWLU$?f{_xjuH3bgQl(>nXH!ANuiyhDEAb&@@5%$qB3xW-inj+Z^(4_&S=f~%(z zPU{{}%av^ys9rnV@%J*M-@l-b4PyTB9%cB67I=RjUq&>cX4`8H1I2`H5xJYTbR0H4 z6h?aC9TDdyYD+nU-zE1xI^@jz!H{%t_ zXAN6}cac1owX%(+fgD>-mZ;Hle$Qwg*-%CYU3pt?=50XD5KuFRuLH4XxojGuYG)Bc zzL`unT~Dt^fR(2=DrO1*`wSA?y3r6r4F9?@2|a!MCz6xK1WXB&uiCgOE!01sG9h)} z0eGelc7qirb}-O7L!iKI(Pc_i$t%%xwz0l$2Y8b(QbK~Taf?CNgy4za@Kte1ZMe#E zQ7>*tQsnUGK;s0Lh4u-WcX|HBo|rhDQOOLiaQ<)$LX(lJ|S9EYgXfj ztofA095M^ibK%ythvU{ILuh});1hzE0@DEh<*x`kyJFQ9+3&hWC}phrG=^0#;mDq6 zOs*Dqmw}L6fcAxA=nUu4q@dFr|96?y_&z^yC2ycep?_^~$GMy1UyqqeSJi^Rl$^CioUj#YdWr>_d4qg?pZmpo^iW1w(VBP5iWOQj;#Jtmm-hFA>na` zmWfIEXrc@o8uR|=_$T~<_&-7X&wQW>39=|#hDc^BCZLDLWG~w zKvfKOlRPx6Gbrz{QbOsm5trRJD~~rJ_EC~C7ZEsJ#n^#$I7$A2BDjCK-clOa!3GOW zRtmJ6ycQV+3my#<9~py-P(2J(5bEn|xoH;EH1R%CxEV$6s>EsYPmHF2Op}>*SFb|A z2%pR~KXHxR*L*q*D>*wZPPPEKww1#gRpJ6pcQx0ZjufODk9UHDI{Us=I6wgqIUD!6 z68=?3Weqes;)Ws_kc=qr5@MkFl$HNmp;o!>d|*s2S%m;!z;{AHsL;#P30fYdu79IMy4ly{N2iBXOjY79^AOl37TB$181N^q82qr=)ZaU}ZxEUH* z>IBA5&}5ckUq6#skd6!LrPeAE_={*jK?)Q@Q@qBc23ebJgS&ukQ?~YGh$g3}J5V@a z;fU(JH~^&hx-9A|($5Yr*${#g1I!Yldnr6=Q2N0jUN+8XuploWQs0StEQ!S5A?v?* zNvrjNOSuqa>V)=I1(9QBkQf-scPr6#f=2FeZ667cvAv3-R$BiCZFv?qRO=94yXh|0 zo4#vsaiTACg(6!y0YY9M_(h1`Xo>IhWYeV>6c(n3l~`Z(L9F6s4ETr`laW*sQX(o^4peEORh37jx-_JDUgy)CFtm}(HOeeQfN6hN3oK0&sa-)%hkZSbfp*bE{Yr3C6LBSmP{5N-x?{2rwtI6KJ4fy0W*qqLqvlzeT@Y4uh zIvT)O19;b-@a18daTiCFHDgR4R}#aQF9v4f5&>QsOpWHWLtq}TEjc=Aj{%pe_}ja# z(v9DgEv)kpl7s3wFZ9Do1Uj5;DTXDO&?wXUud4*x*f{5=ho9$X)eEqTwhX(P_CY%dzi3y2zBZ#Hkn zv+H7rkmzcCGpq<-o|T0-xd!?c9$%fYd7R}ocPkJD&&N`$wyNy!`U5$t=FIxhlD{%} zDv-U5r!8P|qaHg2@T0S8FCZdx1lAJ??)#@?~OrG7bn_H3Y3 zh0&Opo-?uhbJA3yP(jo)YpC}y{?x`!OidAB+S^i(3u#(2Q@P#|=}Jttu3h!++N<3x zTS=IzpW=*dSuQXW%yp^^=aNuVCZZI^MbXFA!tlq_YULGYI`=Hpv0J>_*)8&9;9RkK zZ+(|h$`oeT#lSK!pgS>`J$u7d$#9@ScIxY81)I!s9)*2DR;!3 zUtP^+V|C>wI1Rx--!cO}CsvD9WGCD_5mY9ccH9|bz$5>rWs#C_=d-X}0Azso_$TkH zcov<66@W}wN@NJ7`jj(iPa&iL^JeP^lW*|2^1v)IpSf>*0E1bhImP5YRO4 zZ%vf7=rfW0tD@VamJG{~6LX0O2RcQMF|4rjWej6|h7T$N`tWe&yk)_4dvd2s0d;Kq z&%`?@1oHF0vL^R%|NgN@?&5g^+1fx3ovsuJ$W86ZWt|bY?Ih?Clh;%^?hoa<^}KAn z(S_8QLKj}!7* zzr)PiiJ7X_+cT@$boLQIII*aI_-43Oz?(?V(zXg618g0}eHfB-S{ID4cNKT6KqG-d zrY}GHm*ycirSOTv)DC$6Tc3-_^ds!@D~V$l&&)lBcg;EkND#irUL3F!)Ilz+D0M8u zG^~U?Nf^7bS>vzRUveLJSmTvZ(mL-;ppFxH(89SW2hiAKSo+x$FY5n%ueZNDpD5^T zv}yka;a8=mDXKCW3wVA&VAerk(OBOacx*dQ zt;yMkI=TD4A_I=VOgoG8C4#lkV)Z$#6wm4e`aa zY+~7#R^I~|IzuB%xnMM?T=0xvvfaLGxwcxy4@~%uZEuN*3S$EGV)OS^j>4gpp|fzI zIq^SZ9}pG-1bxIHCFLE!6_)7&uGp0 z{I>JMi+ROcH{H9L9I5J3@Snr>_S$zq;Q+*eoSg$qUpUgR)^>r%_pxO2 z{|JDm0|mAC4h~A5C(CRk*MUY^IeXww2VK1l@tssie4c}s@%{Ep=AqDFH;rCTq%4aZ z0@~J1WZZlrsiLNp3@%myHl8V6S%Tta{3x=slnsVC`%@k8K#f}kod9Xuj8G&~|b8zoFV9ocy;8*s0`Bjj;z} z51rZG|Lid4O-ZhbO89kQ4%6-r#8flu0dKcP=S66Em}+0ht>3 zEbm(N+>KhFTf4wJ8Rj+-S+0I;`si8gv8p^DQ@f%ASs~{Ri@DW};w`c2+mYZO5k+`Q za5vN)$&c!-Ps5}13wPc%i*#uq=$m!*fh-S~oi=h(87F?f*WeDgxDdG#0#uo=>^AD@ zyHp0QbRq`b>Uo}ex{wqw-m@?U8@9V9X0S;7kRs4s0nn-w%rHz4-X;l<-Cv<2Tf>gi zDfmXAvokYczasCo*6h;TIcf=9DTXZ|gdBP{?ce6lTFAM5a36gSAM6Mx*3$qeaD2}` zd5bpRl^I%?ep?UPo*i~KjA>bV$F49DDeG2xWqo1{@2qUc9stgd`kSBGXXXgQ5qE9q zSa)yOvV^uLOWn#Dcs=_j8jW%t$lpI|mUnCHo&`v#KP4ge!7^dX;QEWm(j&skA_U_m zrZD*#`?fEH?@#iMEL&vf1@bA( z5NMn#ZBZB#XiK2X75+xYAYvvS%~vorojIAgA*}H88&o=O-ls^~k6EWcqtygn!|H?X zW~2+Oe`z4|df1Xn{=XLhZy)wLLU+d?RW<-E(|T63#mCpBZzCPwpe73-i0{5S-?EK9 zsakqV&?6M3uMOHKQa{SoJuy}Xp`$2wkpOQetwhM2>cbSQe9bZ6bD?fQu?PK|?PtofeM` zV_87ESq1bJN?$nam7bJV7)LmK2lXtvcNFx1b`2J~HZ`5FzxVZ#AybHGK$oO8zs3-e zhB!^~sC-!BqXiq=X)2EdCBe3Ya48y_MA%(Ms*SuccWrR$yZ^sbSc#W5}_P5 zeUjLS9J%*$)t%Ppb?aK8n6U^;-DfQ?-vphHopWh(N1w24R`h}u)^(|f#H=$|*0o~= z?%|+9W6j~fpV*_VB_TD3FRB(a3Z#GW$w4>*Q<}R%H1_6HXi9|K82#KX7in2i zZ**f?e~KXy|Mu-0#Rc2*HHdsXlwn86@cesDrjIiKcg`G^oPSeK6x zIS8dLZNjSr>CF2Tqv=oCX;bsda!Ns`woQqD8=r2%jy_#NHy@@pXAzRzr4l#WAaFC8 zQ|6lB-(wiGy;fFP7)MaQ?EDub>`BfENw`b2Wau^Mtu?ujX?P5I4D@Q~v z^?4`tqE&9kd)IgKzE;WGrqa?}^X0zpMw9Wc)`Wwck7VyQAM53jcIdt<4WAqb_j4|D zCz`&)+!mGsbWG=?=kYOZD)go{LB9e&>6v+(Bt-$CA-_U*s|S6mY;QN>mDlmu6W`mt z{nqyp-rzeKyp~r@fskJ)o6vP*Mdo@_uY)wJ`))J!!S1%jeCNL@vofpb-xBRi%4nGb z_(LMOQfT}S3J2>Y{<7iIV_f2K+Ft9W8i~uatUZ-^SSq#kEa-6t2VG%m)*(G12Oo0&WcK1xhT04A3i4!&> zsnAQW_>C81%jpc!GH+Air*Dix4z%1QZGA{rI`7?cSw(#rKAUn3`=6)!0#^BC%ouH5 zhL9$GgeZ%W)Su>{uaHTOf1>&S;)4cjQ^(E?pu*;jP^HMtelrc;{Usb_M9$t*)(fM+ z={dgs06ghpJ&l%3yUgzbA2+uz7*?J@X|R+kN}Q|M-Q>v*BjAzBdHuAkwak@+6H8(% zDERU>xMhU^e|eLbq(9}%Q%)TO56ku~Th4-W94pd%{AfV{r#2Ar3%yHH3LK|%mIV5u zp`NHYT_{H6k0fRs|3R8HKn?hK8?{4w_iR$PS_5w+Jn!4l2t;IIIy(q?cuydolWz2ua@Hr>HIVz^{3%eg;P^Jj%=0{MRGy+5L*49>L1W`*`CtjxQ(qZIHKen&a z-y!I95mE@%b+u9%n`HLALX9urLGZ7d{$0+@v}kE*Y0iU1#`{&k-}fmP9bhPc^Mg4( zz9>FK+C0cNQ=#!yzOb4{|tlUBpmrw)COu~pM6RtC*cjD?dRzx84Z#PepuDm zQi=u^szT?;H&BcKH*3&GN0X_sBsIAW%^r`_FpfQt-?xuyQ%otM=07x;%tu{Z)I?P< zq4;J$$Wif9Vj~0XLq*4K))2{pRfua(FarxXbUEFj?QR$kR?L8N5rYLbw|Q~)8sGu| zBr;ebmSo@TYxf2-#a-1=-xR!U3xFnGoS!N_aJ}Jw2cYxr9cO8mX?)oMn4uGw-Ubt< z@s>}^Oa`R^2W;Wey{X}u<%1SExiViAN1h2knPH! z|2}o2MN%>L^Y=TZ=A$7bgH#X-=6_I@MUt~hLF~7Yqvy4cP&P<%zD0BjlAzc4=6g>P zmGhm@ZQ>sS^xV|ys?LuE$#4F7E)Y^Qc0j(C_<-(<81#2v`?FEe1R}45JvE7a2w(_A zpzBXbV%2&0<3IBx+;#s?CDo_7Mm0rN5&l!$+dzk`tT)L}(rIjw8#?`}}bn=ZY5IJwg7 z7P3^Ej^sgZN|*Ph3RSrWeabS24{dt3h&5YXOgO%KdooV_;CmrHQ@AC`qi%Z@CC%!e zXfPWAa0T6kkdwQ(FB9H5Du z)emBlj9WXqtH#^^c9#&fs^;zIZNzG2?WEo%xJt5!S+bR=KL9083yK#XIXOAL5mos7 zd&c&KoVfA@r1bQl%7|Tm2(ngqk+x8*M1whkk5~G;Q+WQF>=!+-lH4Ts_N4%WY zw$Vzr+`d|Ja09oY8!2OKiX0vhOCy&b%r9k3H6}o(cKM#>_q`%nF^cz6|GG844}9>D z^+(3TMrcBU6w4?|GY(jQ?TM0r|7J=Mp*7@ z-?Ogn$;+G(Jq=(+cmt^i1_Zc#l+0JUHif#8JRFM@A05oVU-xjz;(E{T4SNpmD5KAw zSR!pn7?arjugy-%Ui<- zIfzfV=48@C-x+TsRe2{aTs=j04u)oGbMWuxfjhH#{YAcz0C0mZ{*Itg#55V5W~RR- z=a(7{nJG~l3$jsPF`o%oa&1FX5inQ9?hUW-(6EIlmO+ez`*7p072YJ~ywJUFiJ&3- zNItck3Bu5C5PvUXv%&;^RPs7$S|87QrA5#6d+{b%1Qw(2~`4`*<4}$Z6^V`ufJi@_3FCs!I1B0KiggA-p|B@L3<+8TYMxDcq z6r%eX>)>$8t!1Fn9~wBb+~NY`NTp|YMT)Z zl*4LPgZx;_H!8wmIRkG28Mfknv*1B&sjs^apXAo^Hfyj~7=Vw$tG^e8cs!BR>)bbw zt-}Ofu4|;rseG!Y9LFb8_UB2lYXZYJthv!7^gsPvJL93if-a#K13JXy8qkvu_laYF z?J+h}E;1flc;~OE{%KJU=b~r8;YRo7+fZY9Gj3$23tzc>HGt&H-qF%56 zZg9~~8$@<}E9*1{S*BW=z&}Ze$}Mz#L0M^5aFMNVnp#*WVsX2=HulkLhs1ZYJw6~& zzHgYc0O5R%nnHh6_llA>Z7U=&QVJ(=k77Htr;DY5Y|D_aV`{(W@KqO$3O9Ma_5c2g z0rUhvd7F>lr!bGW0|va{T^?@AdRz89>xy7@e)|1zycS1CHL)qWB`Yu=qw!aI86MTL z`CJtOdz+ZKkJOH8mpnL(wbq;|BAeP*wdUcS4o^NDxaldU1Y<7- zFT37CT?x-du4g^B7;XY#dQ75XGE!vOPKW@@%EJ>XS8i$F-SRcl-I}nrmTY!m&ffr6 z%yMTaJUrkmB8?oZf0_PBh<`}0X!6tetX6uE4#fL1J%hxiRtCjb8NK|xE@1EGMr#0$ zSlF2LKHBeP(O<|WYH^u7I(GR}o&g1z!?0=KgC#gfyf$dZGv6Dz*f6~~<)P21R&KS# zeD-=sc(0V-=wiN8YU!YpAZJkz|IOlKA9&-xrVoIZHCJU(LKG>`)O45Xl!V+y9_gR0 zPcQ^+c$j^63hQv}#J71l_l;Xwc%tY{BF2f6*_D@}?Mn9N^cG5+<DWUg<>J2H`+T61GtvFU((`I7Gl>00d_b0BcoL?{^})iVdrSYMEcO7!4AWkrLX3NCNE^ z%UWY;IkBnus-kzvi#L=*Owp0p@Vmvtv6^O^sLh>T9X}4xB;i#>`qa*nSY0>#s@MX4 zY5O+9f`UOWp&rh`&461C5U~KDx9|H~9phP04+rS}dv~18`@j_BI@^qTfX7OPr2|0_ zNBpr+Epd*s`_tG~Uk>;SeW_~Wi7MWzfdv~2GK_{JRZj_uK1F=BUovvnI}&ermNqAB zp$+nRenMbw2GDZ^Cj#?mdmHiqAW}bg)H6SpRo%}qRi^t5w^r(?Aojz+Lty*(Pqgvb z`q>q&<*BtfitB{-R#Xt01>zaWDvhSR?aFE~x?g`V#y?}IJM2VfH&@?ULQkPn7}Bt= zqlHf_o{d@$M@yIwruK8SP$iHHakuzY{PfbghKd~t6F8;_a*L>wLMC_^|nbExvR0Y%zNQezc`)swWYo*tmS>aVsO5f1*mexGvK z(yEBy7aHtj(o+)n#=mXPYJ~Af&=`Gt)+7H(wKnnZBE8mc=0F@E#wF8idJ$C=>NU2a z8kX!rEDp}?44hcpb=P%}Ipi^PkOVq@50(nb???ap7sV#IH})-RXij5+z;T?hKTI^7 z?V{ee_j?~Y5W4T^;T1cDEra#qqfBD2qT-_F&UG8I5CT8pjAO?7?4`5aYH0& z`|^Kf_OE{TXgGh7*qHzfy(0a^t<3XHsIY+#|33W&b-gh%52Qewm{1RRVN02L_7REX zO+8MSUUeGa(%rTzry8Qtsl5HE<5*|d%0@Mm!R}I0hvboWX)!U-C9lYTj` z7dYT)>oX@KW$shoxPDxS?bdyUyP!M`^S?UFp?5-LGfZ{zL`qE>dXe5ol@0zn>Swohvh;r+gf zVU~$sTYzYI99H#kddMUbx*HCn$xytE^*p?Ht#CWh9WIDl>qK)vtel{%^DmUS6S zCb}zXT>qy_T_wW!$t=SoAj1O9hk4(DuBS_1{fq)N!D>~fcrCkmx|F*n=yET~-rOr* zEv$_Oz6c!wWb5v0DC6C@64+SRj>kF1V3_kj=tqkdD)I-lU>*%OeE|Vt6Z;PMgeOB| zePtBDJs|94RG+W_s5-mQx`rHV%r6`sQTnc8+16oeYzgEm293(A433?fr#KI1+w;Qiq z2iF3&O=P7jZ!N6IAFTAzn7ST&oK4QJE2!>)51lVX3u)ft+Pe%J46ibc*k_$|!N=_{8X z)EDk{puQ(=AtU7Fw6FWS+OEgs5DWaSx>a>_b3*_mW1wQ>12Mbi&6{L*nvSdR8|Q8O zW@DWd`*J#3!|@|_Ao1kxViQ*lsseSwij|4|5`i}5Po8p(Up0QoETAs~;{pryvjq8u zcq5Yf_3%3p-Vk;lF7LSAttzf=i)$I9L5(Nf{%YGaG#NsmGp0Y)49efU)$X8fr@w8Oqv!-UKxH{ZkfxhO70d zSRls&EAJlu~*F=ecg{7f*i{854G z*HGkZ&S-VbwC#?!aJ@zXEvB}Y4EK%Wdi1|Nhj?5u+Pu4fD;#FZk47OzmH*hNlZ=?O zqong}Bl81hLDWDu3r)rqRbvr}2uvdI4ANWtpbl&kI=lPJYo&_w-HYksxUm2f3`c_Q zJ42?&q&>d*DVaT+{rELSQ_$o0hw}2Qh!f0&f4mp75&)kj35Af>WdRMPJiOBfP(W`T zKkml>f_&~tK#d3u4Yku=kbk;b{A^`qKDf0-Hnt}bH>tNLaz^yra;*d9#|8D1Qr8La zJO*@=2Ka*n6O_;8n;{LinO!{?p=tM2Kxr7tqB7H${%ZeVEz2QTMQGDehF5$S8Hv{w z!q#o2H?=biScnFGUvv638G=iq6ruu+DQK$^ZhQA?`=Vomp2TJZ0d@}<3V<8@cHXpR z$I0oxLkkOCHcRvOdApCo;ql4bi|!!>(BrOMRm=&qL=BMrB-~bQbVu%h_dH(n1_9aR z7jF@^-6P4d>S7)Ys0XFRb{&p&IDLvbxgXH!{dP6&RKDlr%?r9{H zbtbf9PW4?AXnQ0gatDssC|*-PFNW>#`6_5XAc{@;3euqwM&>Oj^_KU6)3q;%IghKt zTMtJSBM&Zbo>R1GDl9`*4rQbm8747hJx?Ai!>Xp#W)S&2C4AoXNAH4`M>Ht$NSku- z*SjJfb5xN8Jk?4J^MB61zIXX>I!@n;PVK3wM<8>)?W5<*12hB5FG6pRAN&3%5Wv9L zLeG56VlyfcCc7s9!m8`@G4t)&*9G-b61g%_oSko)&MpN}Bl1{3d4IrMcYnIB*OYbJ zqk-R`_Bt z$_n4J`}Hp`7*g}+jlcgt>&0d^%c(f<8AU4fdecxlSwXG31RK2cu(1olkOO6wWr( zR#Wh-`h}gZQzfwIRi$6(;xX58ZCku^X49E`dMuHE__X)DN?9Fk+`hMPK4hq_H19KT zSKNVTAjnd>bkwbSFqM&|iMDBP0ytr=vciSRMvWOFkhw2{-k(a@=jOiaTY;U%tL`51 zNp7YIcwy(p*C*3ZkO;)5?fJUlO~f$**Ahv_};5(5o6UgYjovAnLMAQW zrSB$}pD6;^{=Qv1d1FimBBvXPtc?9^rM1)rAaPkK3GPo29FRpxpuQ%#$H(F4ijpfJ zpvB8u2?~OlfsEXcj&S3{-=KIva%L5@Asm@N1BRM_Ior?+5jd;LFSbYQT;&NT@JNib z6(xfwj@5SEilAOk<88`c>d7;91hUkAyI57nJHLtN-sh?XF2hZ!4ar;2GtL;fk7G(F zSLNRpfTxC=I9}55%H<2zM(CZ?A6YVPCy~VK!uk_{*h3%v?H6K1MqQCY!k8#!S>E_} zQh3vRNzTNC=Zn!AFH`@I;`5pzc!ha)N5^90{nq!*U!uscMtOf+6IBm10kE8^x7YjL zw)Xpg9J_T!m$}Gh@9g9-;6Cd1r;HbyXiv@8tM^|w!adV{BLPiQ20NBQynGH`D$* zNaswS5?nGGfbW2j8Zw{=v+%L>mA(=oLA~pQh%cX*0Tp;aF~mSoUAfR*n0y5E0Sziu zL{Uin3nms{jcnJiJ@bS#EP3&~^uRQ-GX0Iidvzxho`Szq@1@*kh^A4DHD*nXel#ZY7x0jQkNAeu2 zq4UsaYm@w<*Z4xT$-8+~4BnbkHbD8b-9sQ$+SwG6#~5G55Q{?``;ItPs=2ZR3>bi; zgkC+Rv9N{D>|1vR%`0VUeAXAIV}QY&MUu5sh#N3}S9d+!yBmcQ!uaq^Td&2n(%*8V z-k=+nqM*<#P)j=ZZ2WzG@-xMT2mOz);f~M-|DLER;7s%B92+hY|LjW``$b-d;<6dZ3VtSbi}6c;&7 z=<43%ueVzdpD%yS-;*o+a0WEP03Y*)W!hb@j8=B#QBY13%48+pYH%^N>FcJDRi`I% z17JNoyL(jAx$KttSkE~3cg16g7AEy)igLl1b!d-zQxL)R&iy?GEOfDME$Pd^Di$_$ zk6|8l8iMQ$Sz=1UqWYgE)x456;>YYtbIW|5MIQ1Vs5pdkVN{kc5L^BK_X2!b5%yU7 z7x5fGR$he+VwJ$+a__fXq^%u#=O^iDR3=|_rOAAtA;{02cb8RtR7%nXlv=q_6bP`S z?=;FV&>ou=83?P@oKLA>gl;SDKm%Oqr+>U31QoFKpg&_$1A)yWKSpBzCxkU&7*Yd; zZibYbz{k;nDqo~rWgg64Ycz*r5)VLNxo6e0EB_I49BM>xlJa9;5;!hCF;(0QDZMCX?4Z9|RL1kgTAY{!v2 z5hi_;|uE|<5^NNRdA;I;dhtJLxk=XqC6&ZF#3AR}Ao z)CA)Oh!9fxGa*l`xZSc4c=t?A(zYkFdTQc|-KP8xUGs8h?$`4r7jUEgDpu03;tjjs5NmfU-R0ox&33kI$M zv_1d4Ft&@P_zB#ZsV(urDo7x25gYYj&e#HZ!rbunf-cS6eY?#_a+&Ra33~i$H0nDP zZq$50f;Kd~*yn@#HMLktPqFdJV!%fV_|&SOvo+d;9@=q!bplcV;J^Vah-!Tp5;_2D z`m=66q|p_LOTLt09ceQyu`*hDNzGBm>fvgkQ?sYl7QL^Mr@hmGOz6H2ahMcwGjJ zyQ_EWhQ(d0edLSt4FGyyD^gAHch+V&*(J#hfS$mP?ckCajB>HBFu z#=q8BLJx+S%v)O5zuc;0569WD`B%$~war!UU9f@S>-7O>nLv`iEPo6pt>k0hrAz2j zf3RsJ0RHw|w)t1X$>||Fc1(2i7vxVZtwJIG`{V=wCSPmxAq1T-Cd6(RbjmYSZ~)-Z zXa6~UvFfv#dgM~(O*I`XQbQ1e{||<@370Rw$0#|Lw4(yP|3u;_4%00CtP}4o6)YPs zDiGcirIQ=pXbV<>jqk&e;4>=F;1JBrKPy#VPSxnhNAzauy5A;& z9OuMJ=e+qte^)k|Ql%!j@H+B&O^Z1b{UzQsR$SdWI2K0H=t>OCijNq~f>gpvyfOmFH|xQVSM_ zh%S`gqxol54k79M$4k|wvkE*N`(}twkD;H$m`fy|j?+o143vk10|u?!%TiM8AkLuW z#M|J>L0F-#-eakP#|d~;7v7FcTSS>!zGS4N@rNN`j$E9@XMcJEK1C>k7CkRga_MY; zz6qLzfTy<4PIN!Tb5#R?WC}>|+^;7k{Of?xv&vFo6kUv2Bci_e_Ed;e5L^VsS)R)bMB1VC`4R{8k@}*)6=R#g2ypi{1gElk>--F&JK-u3WKxr*NERAaD zwxWC)+X`&q>>f)N?tfONGu2=C+HWFh_)Q?|;cDg6M_9rvIA&L994Fg|977S@Bhw1X zPqH~2!rQXoD-%A)PYpBHB>5g&2YTAoZ>!fc4xYnskpHTULB=y|qK2y0<{(r_xD>Gr z;YLx)=&@BgmIMyCMwzASrM_u2ac1fNjgNkZA_lpwE5eSeX7Of>6}IYPdC!R+1J&Sv z<(NePL7}cW0Mq(s?YDlCtZKE+jx<0OgIR-j_UT6i$4ujp&Nfsyz~6v_Adne-T>a+| z*ZotDo9O~j_PlrHx>`SGB-r#C#VAZS-D-2;=KQiZ{E{|@%YwXW@jifSP+UB}Wo{%~?_>>L8>a2_ zATx_YlZ?KM;`iRK3B{qvCPL*F!-0tQaVWsyb(j)reEkbpXz|9g0f04~@vG~s z%vH7cA+I&KNtaoi+UoMq8GyfWLE1oxe3L5{ru&T3 z0ZRRs$2VXK`k@zjTiROs4&V!ZR9I_E(X8sJ%i}oj69I#iTA6O&@lD5rs?B$H+*~*t z{BHlHgeV$9ahLII{SQ-b9aPmD?GGOi>F$Oj-Q7q@r*wCBcO!x{(v1Rwba&?w>F$>9 zZuo7!_uhBjnd3h&Be3_g;uC8Np84;6Fb*S$Rn> zzxAo6Wl~Y04<;60ZlN9yI7RD8P(sRuX_QuEdaG zQtgoF`acZ+;i#M(?)sY%pLjS{;1!7dK>_iWgTPkS{phiS=iUZuDGiT9)otOI|xqB#0}i*bXo`qS(NQrn`l)dKZ^F=xK7 zTfBk+|KLI01L?7m9lK=y$ibQhDK8aG`yZWec@`DlENf7t0G|XXT+kmdM7?}`9}m~7 z)HnZ}j*^EwK@=#!vs>=QMpk55WcU2|tI_Z{eLqb<0U9A5!1vxX5RX4Eb2aMIKTQ;~ z2({*8^#Dn(h3hkgGou4b^FQx2dC=H9TsimIr4WGOW5Fv^`ahKlTrhrnpSA?0a0%?M z?_17NH?=Oq4)(4(`cPOThe>K!cAr&t+}O5L1@qoqmccO1o?!4N$`zT?3sj)?L%W4Q zuhY=2KypzR_V_gjgcBj`Z(r#O53S|K7W2J}#)7M)zw$pOuspgNI1&lwuK;8ow9sO* zQ<*4}uLluFIN?9a43lis=B|aY&V94Yc?T_*c{V>5R<--mxxOpx(DiUly*%^G-f@}c zBG~-#B&)$zS55#hxZw~q<{gIKtpMlx&Fr|(_d&=Km^)2|5d#LZfzcG1^tL?S%+D~f z4cU5H!dKJz^N(MlWb9TSZZfeKia=F|aw~i7wMx#!_aT@7Gc~arScxIG;?U?8!`Itq zeRN5Ifm$I$csQ4NMzyOgFaH9+_BtW?bXC;($+XwDskI0(w?*J4y8Y0#9F%mMQz)j4 zw3o?ML<}5yK*#+x*ZDMJdbjOJ%F!mS6f5DRYHi^_63{6e&hzalhrCSattb0-`Kp-k zTh-~GtdXpmocd{2x*s#q9OG$CjjI)-E*3c=gX9MV_DuzL=$ch7PdDsQ_#sPRISAt@ zqi+15M9R7B?_PVe^UOgng{uWUV9#&_i^);Eu1FD<>15|gk544v=V{(Pr;z#&S{WEV z#I%Eg#!D+d2!hSR6rQFmolgYT-hEK~={C<&npyZ5yzYO#t2X;{#@d zw0jWLdPI>S6lhvavp4I#K(`qNjc!b+mdKy3;>t>hxuexv!`%OmAH*t{d2`RFW9Zee zVCS`8*YW3Uwp7k2a+L6XG*`@9qShj}c|p}$A8kmIQg;ft%VW(D)00fh<~PLcmwluYGxjP-^*gK>8dfi zEF2Qgw>}0{(9&7_{L3MYCUh1`DHfTYEIEHj4F6S$zF}q#B3?EY5I~e8On~*CiE5_l zY*X>+{0`)?$`#Wahv2^VwkKuTDOF)Y_{yfk0!eu~C92dRj_AfG)p%(<3xT-Y-7Frk zOs&AV^}CF4C`ql~3}=1M@da8A$Cf>M;(!N?5uyJX?CS%(Itkm=UM!#=kL{kDXJ_%$ zCwu1O7T4ORvjH?=KPR5Q(j)s>E0*NG4Ca{LnW2GbPfwL%$9W%WF7O4(B5a}Bv)m`I zO?J|29rWh?M2&@rs?~nm#=wVWi2`=)qRMggwPf-dGue_&N$$S*y4sE`z7y19BzB+Q zUyZJBymIB#)~0?Kn?P8Cn2)3NRRRuNab2>skJ+fvG`9LNOQI#9byD=9?;<-zEsk7&RD~ z`1_ZtcX8zUx&cNwUad0KdN#n%gn0Y+@L)9s@%z|(H^|Qn)~BIaPu?$#R<&HtOe2>< zWP(_U2!Wj!f|Xij`FpK3E$jce?D5zG(8!1qU?z_}AvNy(Ek$`%bUM&cfu$4k8$PBU zeJ0r+Ag4ok`y4vF9%ISJwXd%PM4w#FH46#~2mx6*!Vm>bda198pmB|oIFo!O$DX_ZDB}WLD+ilMTsA*R!#p*@@D>Md$9@f^pOMksJnIl^a z@;Y!u-xzanJun88`q{o6w9Zr`C5>=L-0uSYGad|mhWLZLFeYMLPP(wNkbabMPm{#P zJdb7dXDH8B1P4N8#pf1p!vMU%c$Xic$@qp@2qy9nrwcan^g9)KQ|bRax30Z!$W0+c zztJ3N&uZu79zyzMm*W)%WOcXr{M#$e2&z403;QuDy(7y;;0?(qKuoxI)s^^Pdf;EY zT-205DaUB-&T(94e2~-1GE#DDclj>mz>FI>R{U$^G`BYRpoUwg5X58VU;_ zq5;2!3YognQ4!|=qw%NnxsS<`1B>j4J!-LvkdW?#ZhswS-W=#(qag0RcXf;b_z~O| zY_kW`W^v*ls&wS4>XO=+4)-oQHK)Z>k>Y_+1cq#l^XY5V>pV$;oDHl2NaT-u>!-Uh zM))}W7q$i#C0jQh1zxHr&e{_aY@CRl)*pqA?nL+oRu<0_6<1jAb)xBOQE=&?U5r zsXAZQKVXa1^g{s)(QX1dp>v;MNseEM@xv7HrR3!J`fnLI@iX2*`a6mS6Lg+T$}Rd| zHOq^8ycxm6kbzI|qO8$qROUUWh_R@>r?mS;o_WTI|0T7{H%WT|hx=7o}Kr zxL`Z*DGR1?!7hRIgN4#DFk1)|>+Um)r=vNz`Z3HfxFJbw6C+^SyOu`F&IYA>!mMXk zXel()F{7V@LdoRh3ERMLA}Sc}8NDcpR3IZOxk0ct>Ayjjt&>lGW=_#bx3{70{HR04 z{`x|ku>rS*vO3i_?^L}i*vhO6o4!r6bza1u=%%#Lmhz}D#_c+dn_k1oFT{v!Ra%&F z_iqxoFi<$ajAqYGz_dcYcbWa~KCWZDP0g{kP~ndCuzov9eSH#8-ykSO!B9$qwcd+P z2lidp&kcYg2T#}F97h3dgZeFqjmGmqmK&oq5> z0N@6)c)yQ3ise|69Qf`~$S^;z9{HY*SYft78^0D5J&gRDnH>Y$yPoCS_;r9r^LqoW_?@B6PabV&`?30 zbuWY`14SKwSJSen!2LgaU`)*(-=;1?uZ*n-IVt4JmTVY4YwAaS1;t$={VdC&sWbCy z1NuN90IaC{hz^OX7VPGM)eitoG4H;H+Hd(bU*@P?LFIrwWAkC2S%EzgkiQVg*}t2ZjZ1vb^R!)P|7f<7-g z3;BjfoW8?pSzEA((te6eFA5?@R+P^$*0A2b@P}`fKo9QK(ute_;coCE0%psF04PSL zbk0XAVl*)9PxO4G@H%L@8Tjhb&t5oYhZ zHySo7>j z#$?R2b7+v!(wUKBcbueCvbVB*aUVJPNSrj_yrv>3y8=Vl#=<@DRx6KzinT;pp znY`DrG(Nt$Yo)_bjeoHT%F`g^#5N+75TjA zl=4LC7H{35{kQd}0T>u)#*&h!$VUc4@_6@2={aBp2!u>gsPwW`L0A#+TQq&dl9_233BwZo zc5B2GrC=9<%($wY;)XF_t;dFbvta2s*4CBDV5{3vF!h`r0$QC8oFsF1&W$S4e>-aoYnN71mAKA5^_um?zJ zvsS!;TJh#8lY=ax*XJ#`&?X!cU<0=H!A}o`8xq*7Wg@XGG9wZ4_*dKy3ZI{_pNZ`a z4NvUM5Z*iaCgPp*^Gj!FyTlOcK23bjKN6fU|KVuvCI+nUj|QJp&5+@GSEU(_ydmV1 z&R5$>+v-Bc20(KUz59%9{Utee!47upvCH+mw%u#h%cXrlyi$*tWTur`9Tpw3^U4?gm>5*v);czK_6AWLoGg^;HV}sWY^|RLan+d&T zYw>6O{-Kl@YuAPjkfI=iaU~dCyf@=VgRp{{jIan4EnT2LsWAU--PRvdC4$Es3aZwu zSPHQe7F_KV*8u`4RQC_H6@^BLQ5VnVnPL8m#;&4n0^h#@x5!x>gFN`kvsWD&1#DN= zi~nFVbF4W^&)swJ1-ruMpahdIRvwD%`X8q_Os6Q6TS(DS_e)KDXP@>}pL#TS@`0;( zxgtB;jFM?Xe{jtrDe3O(E0rDyj6N=}Twk>H0}VJ+XZ0+-at325Da6i=j}v^U(x<%& z$>W!779a4*9b--L!D|@Xnp2UmbiF3rS;DSZmgX#&xlupl5oWvl4Q{U;(~N6}^F@9X z@RP>~K>2XPz_Na!R8Dv271nFaR2t50K`N}0fZ{%cb6ayjczYPSHtaL|V7@`TO(Bb- zj|n4Z?BUlFMz6U+b|bqmaF>~j{u#vViyJK$uGsliKx(Q-w?VCpMDTX1Q_rKY(jOZF z)#eH=2-*=W{e$0o$KJ~Osgn~N8t>9i7x}xmB5ddvy!r!nLB*Br_336mm&Cp*C1Fp; zD(MtENCbh>2_1%jK_fa-eEo8Z!6MAL_VaP)R)wwhrmQrRxLm@_GiaoO`c|XB%Z59Ef^KovtCFfzR-tn-`ZL$Sn%xpc!0nqB5b zaq?^tnENQuvru~lt2QBPLf?eZ48nGEe3>ilJFx=@93Q86Ec7dta|1OYcJvL%OOeh+9~&k`&aS7vk|DvJJCM`n(1ouG9cwL8L_cVC{Z7N#SCSBg%6 z`tx~F(Z2a_a0LZE{t>WLt*52Sr;Y23yN)D_QSLg&9B89F{Ct~tjo za~*~pq4&e4wA7EJEpSZxd z%K^K(=4dj1P02&wUj9P{wc~h7Gjbze5yPiH3IcijGE*!ANBhpO?H`Y}}hZFDiX(I2mC zc;BU~3HPMoT^`w0*}jugyO-E4(-tzJ)w*TS|8T#pP`ZAmY4sglX-9e zGy5Wg_*%p9IC4$nJEk4kg@uLMq&HX=BK6pyXs5LnJs^Uf?YmfCw+}qKZ^+^6mv_!T z4&fczFLm0SZU~NDh2jjcA~^=#COy|04CR_D*jeW*zkTM&x-qTBe>t*G?J~w2zyCGj z^{;wuLm2Jj*P`6}kE3xJQ`rZeTeO43zwTQvH7X6V8$nM|TS{9}!U4p1l{&%hsdwjl zSk7v#E2{RVyAs-MN$y4)li>IzKl@oBPEIWrC6 zpCpP+1HZhe!5S#4ekk-yGw8+AvaRS@Asr&&`RvvcZ zYf8f<-Kn_IF=oj{F3oEZgj!G5%nDO$c;LnkltW5oK#)mb<+i}yrW`CB3HRhu{P8&J-1~rM zpf*W)C{Y2tPvfybwXK|Y1NQwU3#U)v9IB6ns!gP_bEN8DR|6lyAM370f;K-#i+@t( z-&$;R&jX|P86Y+Ocp)5*f?rS(Ipje4eWzL8b$FoJW%ycS>@6sTvTlc_3-3WNe#{?n zuV&2re_nviriH9gs`yPA_?GFBh3IA{@=s8pbU=8tjP|G#0vw`F<|0eYW_E ziosQ{{UwDVMbsNp(}(V0OGEycrBiFJtC8JvThzI467(yhkBdca#ukNGv*RY+mg>p?QJK-l@HoVHa$L(f0O1kQ_Rc`PfH&OmfOj3 zs=HDZSvnTe`B$$!FrB29);64OeVO>pxd$B@vCDi+k(OCxSTm+W@1(@;ko|pXy4{x~ zst30+x1A9SavEDuk(@g&@-aBk8_C5LoebZUp1Ff{VE6?hVQ6?x$_@@i7n8PTQ`es_ z{#~3NH1vyBlR1?vfitTXg^p?20fBiAAEp&%!lKQ-$*vkuIdkS#g&(4v{cK8!93Qrn zdbV{Ulil;1EX+Qk5q9S%r*o?ezINxhs3NE|+*12zPMu7Xos zL5~?fw5||&LlyQpr~VFZ&SMRv$%^J07kv374G#`wl>RV*epFLWqPH$FwYC9=MHVxleqQ^3qJ{;mVza-d?v#MIz8Zn-1+yC zD2I9VTQne^BL$!`Pg)CCD5*TKUcd~Y0{rUybae34v#ihl6*mNC6~V^)S${t96g^n{Wkj>m07XRROLj~Y z%Y~`QhYF&^LH;Af)bnSz9g&9H{@8pUakj4+5?J`{>lqU_z>OFsD~U8+JMoOFN-UID zb7k~}mi*smuul(sav^b*{+HB(_pPtxO{ey`xA|0SV|wlZSP10CiB4C-aN`ZOH5R_N zu|OtfV7{vT^vSBT0ZXV-*wORe&s>4LVEQMh4Fp`e-6kw2``zxt@MyNc#Kc5!Y%D4w z=aiY{Lko+f{b*sKTME>$VIGuNAuvdA@an*&&_L>>8k&Z3~U(Ab%ARsAOQuxfL zEN@+$3mFKdTZ*3?kahB@@nrvc?9P+btIN{9a#359Qvx|QG>ESBzi-=qNbNB`^e@cr zjKrF2k{|0b5?QV&4P>Q~JAK)O?wH+Gvg|BaA!c?Uzl z6-7O@d8mK-OR?}%GZ;M-=;M|%QG$PL_^8GyC~U3P6yp$OWGKu5H{2`%X@x6{q8A*R z4;BymghTTJ&00Xrf>T&>nMpUiXcWH3Dysr?o2a+fgrqy)?Gfzs)}n#H@YaXZKpUYf zNHdqGD9G!94gb+LG1=uIk0=(JgxTDukI2c9KVPh^PGe+9W{M0>MmNmJU?ni31!0N7 zgQrZatbVWW^CJz~^{p)~I@=RfYvG7lj)~MQFfGMjkaN7R`@8BN813bvJvzo*qK&ei zwB4fi_W=s(x`prZ!ZmC=UC}*vF76Y=pniEk%{}P)QJDZ}RCb*n>mZPAY>YUFaqYrc z>)I<6=&V##iD+@&jvM&Mn>m97?Cy(#m?Pie2VpDiB&YEcBiN!Ei8w=PwlXN$O(1Q+ z@*L(qe3xFVwma_!fnNXm*sqi%mnNCJ|6PkZ@Lyb>b)4J1w)E%yi9P@DooK>qaA~5! zHmX4$$M+iA$>P|)y;Sw1Wc#|WQDef6elE1vX?IQnX;j{Dy_@Wr3Wa9&n!GW04ro2T z?rO&dc~r2`#^%K@P-%f9)T*1Bg1MhO1e&$jjuw>42OF+v*zA_!naF@rXqhdbcCH!t zjHd#BLfeZDv_AOxhLRR9@4isHWdr#*!yGT<)|YD}bE+4g_C#whszGj=&B_^W+LuvM z!xm-}0FqEA{HBXWlF-kdbGg@DbwLdIEPS|Cy~*IoV`9XbG7&fE-D2!t>vA;3domo( zKCRP554`pk$ECOt9{q^#-(ADpzgxjKCt%4X!2AKOm~x4AZ>~bRXdC8`!6olc#D!RM z2t3P<$M6~w&-?fBI!@+Q@t;oLZd^TTO6EwJ@rHJO+Oh8< z8_%z!C-LpK){+R&sMb&Zl#)eJPntkt#||ip+jy8|;CN5`H@n53Z6IDHjwPDS70>hv zE$}luHBglTnjr)p%pw+rp|;1Bqiiq*Tx1q=#X&Y^x=|TdQj6f;AMYJ zqPC)TsWu9q;gF~Mc5{rWk_yON06_aD{weIbgclVQ6HFeY!8B7#e*zsjBu}z7`tdkr z%Yy2|bh%!{&ss}`A&GGKF_(UXa?jfq#)D;xQfGz&*~3}8WLvhNCyB%;mJTgn5+a7I zcUtkXx3~>H8617F)!P{)ApkA` z9*+kI>v&_7^(R*Sxqi3ZN?206suEiv5A^v)ICCOmo!^8Hk-w z*3_PD^54cO+WD(|UWpG;>huTi?kc{ls}lzd$Lg;+>+lD@Z*5!eH)Pnj`D|Dzx_<5b zL=EL>s#>e@PIc^OUDd|r-9$$@mM_|kk)b0R_nI3OQ1XCItY`N;|2UTE(q}n$?FNzb zZqRjKZA|juK=ORW@oQ~>$KG}-W9p+|fZs`_BLwoY$+0+}MSKJSz!UKve_n)aI>doc zw{r9BqhA@`8|Y~@MIxL9_PTyyZAJw0puHbdsv29~BYKJzhyP#PIVS+9i zXInr%VMIXY7YuyJ!~`AylUA=&dTyxnZ|71rnT}M%PdBH<*UTg%J;Pyu>{Onq!_}>1 zc&LsOU3ovGyRN;VOqz85;It>uUU*mK>|eWsULkE+#q91vM-pg}ZP-d~7Gv(w8YC_z z$xAHh->waExXT}^+W1H&x>T|MaoOzJNLeiQ+*7#N>+ZN2^ruZm4kIo8>(_mslku5X zNoN%i-F|$rKRwZGKD)xnMnIl5^P$AN$vdqDqi10^f)dZ>+f9XE?hQLbwmJu2C=3#! z6~`LeR%6NB88%P<+|!4O$(@h)7M*WK(fw&cJ`K>sDanFV6*t2Yt!DSEoisYY~ zu4S$Ri2=Y8l3u)_K9ywQlRZCL6mgt`2e0yBgFm35USFG7?J=(26a^MGtEo$^11K^B zz4)ZoUzetVyOD5Ilai3V%@G-90w3PQ@bszRtNLM5Nn5@5*B$uRJHy$ojlKRjw8kzl z^UNac<|!I-?gRxqyEvP<_scXJ5*kq|$${#CGw>5$fWg*`2k(1zTbEI|(uV|}tK7jd zO#%W`;}zZ4zDX#-qNI4~4gD7AeD9W^Yp$T{J`*;*ECal#ey8*PQjDm6*0W(c;A`L1 zBPsaP6|pj>hf62{Ruw=Iy z@N1(*@bLgxB0rzY72`%_EQfSJMFrBGxmPfy8ZMk9P`xVdsXyH!S<9*Q8KYZz|H4Xz z6W0Z%b!0pp0_r5^L8mpHI7zKmnD?quvt8m=_jwxh^YzlS*^?hc(a19VqLS9RkmB(R zwNCWL)B5s`SJI4Enu)wcYqo5e@q;DsdXpntLDur+HaQLe7%d+uFU%-N2hMm1nnA-t zT^sPY-?Dd%!pIx02T~9$PeF#uU3;oMr$4tKafm)H8=LVBtlt(H^-3n%D`li7*E3qn zN%cnKnBJFN!w1)=B{ahGvoWDBQ$;u%cXPsRKc>ZIoOkS+)_042ApuiOq|d9?y)`I@ znG^m?0h|T>YPk?cbU%N7DV-$}U8mobngT5Md5Dd#*Yjxg(>*2gKV5b^mn+&e-VfH> zGIQ8{`h&h%uHqlIt8AyEPV@6PN(dALwEF6r<$anSFGQ^`>Abe=+VzCY%V7`$#{)DKKv7)aWj z^UdRSB0?rptF7)!wd$VK887>XWS`!bsp4xQ+92)$01T-4NN+$osX-IR@0Rokh)|}& za9dc#Y!JwN#s64GVO_rF7g9wN8_O5o)O6*(Is^1^e6MiPf7eXDA6q<+AYI5`14>Vm zLy5OS!8mB|*IkM}HS^gviDq^fFU=J!Putt57~Ec)cZS$2aZ$bFTL($o#`nH^bPjsw-h#)D;Um>0{O_lir ziT?aGff(TE%mY~9#y*>O+#LpQrhVc{n`^sLU4Jx81l<>nem|I{(*|YnWIk^uV+4<3 z?xMuUd|}<1OlZvqH38=7oQ$VeoooM!n6RX~^CZ*l6h7o0H&GuGiN8W9jx1n|h!G;8 zzXoLb@VIM}O9jn5Ah`ocIm-ONoJ+szVXo8UdiXI}5w84H?rwV*#U4P$Ta&`ptc<0l zrK-d$!Q?Dg6Y{#%&oU88lzM-&l8&L2$|IEIXXFDMY~_!52*B!0Z<+(x1G^`sRz zNsU=OD~5r3w!Tj?7)*_G84bGnBy$ahsM0FN<;?(;a`CR& zyVdzj=R@|$?BV~Y@$`IBTJ8Oq-1MYs>vUfRfwsU9`W%4$IgAr^G=HemE>^7|Mb_^_ph`^rADGn)3N%)O|aAaoqrv_ z49=BmRP@lSHX?i!coF8*tC>A}o^3bn^sp0Ne5Q>Jc;$TixB6&#AGl^dfSIWP3rmQ@5KSv@EMP%z?Q4q>?RXo@fJ;!fqIM^q&@(D*U^>3$LCysn4!|xZ|>@7&# zRtn1#iN{G3N+-WJCSb@R7n)s;j`Ke( zw9zApsia~cbL~p%{b{04?Q9Ns`S^9h|86i}cs%rPpu^f1fJfqVmOn*RTo{JL)0vg< ztj|0bFA)$D{#^tXn`@5ozSn^ zryp}@b9^F8LCowAY1Y}*#7W0;r=97?}*e-%JSnK?nej6{*4uDNDIFFGjMf_v0QytR8VW5r9z4)npT+ zt<=O4=*@kdwkkXrb2CAybdQBR9a$Fr645E%t)YlJjv*$UlSM|-|7VXDM%Q6CC!waP=>)y~TPB^D z$BFfvgG1>dH#gT?H%85)#;pcsSFNhSjDwPp9(Dr-p0uYzd%=LthF%H6dr_NKN7GZ4ZQnNja-1=Q&X>P0Vc3jLc>&9 zp1?*dRdq!z4iauPuX9wP+l(1hJ$gJYjXfs#TBfnf&0R6wrhd4h*a!Jss}{6JnyVoU zEb*Qf$6$|MzyM?mN;zbF)O3{&&EaZYL1JS}KN8HIjjEk9wPqmb>#iT{CGx;-t8=k8 zwgUC1wE$_JlmKhci7P;Vz41kwQ_Uq(v4OwSoX*D{Kz(t~q z6-H1FRY5s5XMO9({<-abJp?o`>lGzpdq<@bhjK!SdZk4BQ_eiaC$#k)j7Dw~!=W>H z!1xUfc(^Jkt+4|2?)Yg0>bkH-he2Dv&{O;HHHY{}s$Em&r~gQyuG4eHi1(>fr^3)> zV)&A~mDQg!)*yO4B`zgIU?ZF8K#e(;*D=>^^b{B=#11`hD5mpasq1UjyicbJvNI>0jVIQ6t57ArDI?qsF+N?*WF{yJ;hMHwEwr&hdhk$-!Y2Y7TxrZD#o)J)iuKfX9X&mHLPYaj*LN}<2P}oA zLOk2HNQi00;M2i_YKY(Q45n8r^(>^4KXuiPg>RI&;FXgdpqvh4cNsWl7q2Wfwk=H- zXCQHXy{gQ8z*#(5Hd#FFN>nm?wxg#an7n=&dVMskGpKCqI9g%O;$@5dt_k<~G%#+z zRYn z`PGM+r^1mtYi{_qtAIj_MK71|iHlU|hAhk@gc8@Bct)o;g#3-^mXy*@;mUX2aG|P= z?7aCv0;!8#5c7IIdDmKPvrP}gMBjk8Af5{8zqfn>GU|^+!iDGuc~Jw=$hs-ZzS1$3 zmtYI(efp7*oS6uHvdrdm07EFO{SfO4(0BY57Vx!SOUjwy2W*wA2EWO%@xJGwK`mac zq(y}S7ihV;xqXfYhhj5!fUx~#ynlMWSVVS$#%E@}5;-(MIX+JbvwiEbDPM1WxhLC4 zrVfp_b~)IG2N_M|Nu=5OQ)3LBq^YhbHxqZ!bDTdH(|su??oBnUr#{?;kr7u=BlWRD{yA>T%X8&g_PbR~S5uQGGE*nc`m`J{Z_Wzl*e1D+jkYa9$^IP&%JN&I zdt31uBpQ$I=C5ziiXXITG%5wkc~h{)Yl_u<*Uz%;HH;~uJ`lBpgTt+pHw!9sZ% zRgp~=iYr&(`A6D_#w+Uz#8$ImU}5F$!@dAK4+!+q;&a@-!n}ckr{It;q~AEXtE@L0 ze&Dk^1I}Sl5_E@teXQFwBO)kf|F)i&rsL#X^S2%!kJUztS_WF$!>NawG zPpX0GBctQR`uTR>MvZq~D=qFBrgx4nJC06MBZgi1kXRR1gmh|d!c1J`nxhbu?L-Z3 zOq6uuuJk*>fTycn;Rd}_1^MF+dtpBV;g^={FF{uAFR3(SeVt?v-;0G`a7s6v3gjzB zw_cITTGhOt|9n?(vWM_J-O;?OLg+#~#nDoE#O!!1&$T{&{g*!^Z=Lt)lVv1(g-~Un zQ;DCMe@@xqLcSQZCUd)aF5l_{;s3kk3PO2P)rM9N;+2r9PFb4^vmj( zk12{R`vtJMOuCu6C_Uwop7)3AN>LpT9oCiZ`;gxKxea1PYZFOyv;1)fhEdNnVwR_O zus{J^5xB7|AJJD#QvGPF@*>`|k>I^9k^ro`t=y9~b{_fH%Z7zDKt{8*#XZeP58P(< z?15?aazlfI^4>^HTG=>K<1HD0yBa$$NjP}|8B6a>rl!8Uo!2=7UzC&9Q^N`1 z{Qmq2=H%vfAx8ig$p4Y5Q?;EAGw>gHy5B(eBNg@|H6>X9IG}t$`vFMX2EU8ijL&$a zsK#%6M46+;a-l(|3`nE6MD_zNM~ju`ax0*s-4H&xN80Yj>N7Y&m_c6L&yERON|ES{ zkuaLg3GYc%bEPm;*5ZrudeKZDZ;tnlj{5v>SHg{0za3Vzq7tpX3ZYViLz58xmr7)DGx)OA znJ%BXj1LcO)emqosCIHY_bR6=}RGR)!iD!bt}&| z7m*OnF>LvISiu8Sl2xppyTF4p8NV;Cr9nV|&a>9HirM{d>l_lfCM`*HYVCiEtCpyw zC!erqQ#KLcM;DN;gtC40UeO0;mHYy_^%yp!(+ph-ysG&ynIqs(0WBb2I%7+99c(C{9Z5Poh1|GV# z3s@G73a#ih!AExLP1n=U$^Vwcl*PDL@zuZTs@Dy>X*R4>zX!qyh+Qy(Y@oWct5KD( zrN1G0Ae^y~_d=Q|75-bW|6Jca}PU|?xp_{2`;uT4jACzf0hUxxF zAi+P%W$(9ZF8aYF)nlH)%~PAQEhg^9%*GT&1NyyTPv|2{X@@PvQ|2i7Sf9T#i3}JC`@$Ct1WS{!cfYvAF zSo+&$co@>`iUJ!xR?qI6Wc*c1Uqd}XN@9X3OlGpyxd-D}+ ziH+qG`aY8_*U7j)PJjOO*)P>o?T##&@XGk0SWC1GYAd+!NyA(g)5MAL^|2Oob-|I96k3CJm)Q>&JtJSwcgkd4OwLaPY- z#qPs-&9ARfrW)r0%>5vNUGieRH`OFk(!yUc$XXWx{56U#nxz2y{>HQ8%Z|dxnNK^f z^CnD#Be1NqsoUKV(qJo$*18f~QNgV0zg}-Ux4G(jDq(BO(9+U!7USsmJ5e)lF_c_+M=4HTTF4MrY(zu}fxnRI6N+XUd4hMX(3JXeNBPvaB6f+BK7@wh zfxnz3v8A|}_}X!1x)81<*66gGlvuII@N~7B3^;HAgx~yUBn+_5fL@+egX^`!rH;E7 zE|p`zs7G7x+Ph!Z=7(=?JmCJUH$uI7u=dEl`cCp(!!TTkIQxl+02S&>;#|aHq1ul6 z2V)4pNJg!xVrjLAbJx{kM(B^8hd{VQ<5B2}(xEfd__2c!z!G0WkiiA$K!3v85uYGs z_;~vkN9O}XD4X`ch}QGt&E~pBN;u&azRu3j0A0Jw1z*B&# zr$}?4IZLp5_{y(8aGNYkNf<&-Wa~FOUGQ1|W7wR5t5WGn@e)a+{G(lJe*zhtsEgHp zeJ#LEPm#mCVaesGiU@zHPS3@w^j?V11dwooKEMM0kTO2<-rh*tX71m$mH+1j0Hd2d z?6dbeG~!}?=mqx@1FF^BXeU1zsvAjn*!9R_TRMVFba(JU2x1k}C>so!* zSw$raSPn6I{ksTZyu(GiQmsWNV0+u*rkJHuidSJu{ z9^9;5?MDD-j9XTs`#u*EmPBbJb8Q3Ms;+)kS(a;8>w*L7GHgTyY&81u8O1XDEQn2Y8!2b5#a_ z&mkK4;i@F?-f$)DUbBpOu*01HPobE7DR3Wc|62O3>5I4oT~3z&tQwIKR_pu#C40noXxe~vJMADkoGs@l3p}o$J8K@( z59bQzy4%F-gpo;bdcL09LUHtZM@UGBY(H0_A9Zr#uBmS> zZp8tqG=zFA0|bKbp(@O(-JUJR>EZFjL8nD5O?p8^MFrynolN4jqh&^iTknGfs5X%H z19Sg6I^rQHtT)}9*ltQF;JS&7?&_?>1%-N{Pe*Z5s6^BN%FqQy1X7GqYH$Ic1OIy-CCXyy|FRA&ASt{7E3=c1!(68! zyq8gxClb=w&DuG;UK~xm;7rF3zGvZ~5RJ>m`g)v?AAxC7{P9UqxG$p1u45nHLeY7I zYfdCVh1d*0V3y)~w3!`}d0_a2Z~AL5VxkTisZ%I-ek$s|?e0?sxqPs;YZnfjWeA(~ znz;j8fQoMQJufM4#et^~Jv&lOcD#ay0eDh%b~gQQS@%Sj?LZs&Z410iG?qSRUjoV; z*KJ#io;eqf-x!U`>b7Hg^`PS0kZSY=_3<7WFisyDL=H4UpW23;Z^Vm_W8HL!vy zg(>C}HqF-eWNBm&pq)o9t_=?(geXY9X|ew+S%;#lz|5BGhIi!0rKNNNFSqHTcFyQ> zr?Y29)6o8@`o!r+Q4!pnVckSRu(h1R8(R3B?>4=#zrd2;i z%_H~*^(rX;?rTI*QquENRnyDv6)AIL6tSVhjF-%uk6_bJUxTWut!q{*b8hutz~*G6 z!Y@t`+(?pbm+XJ(sEdCmmeJw!9vhzLeXHOeeTry6y0b(LBWt%>^i(3~7ryIfB6Aqx zc|Xe_{w`HyG*ffoGxaJCp}XfgqdP4l(U#W)%u9L|y4P*Lhg^Qi7an?SBmi)Q&GaN5ix#x|18_Dnl1Lo!JQ|cdkIIiB z4iDEu&Mi9mc8+fK2JlCnZ+wOBj~xS}Y=u2Z-U7<+X^RZ*M?pxydN7>RdKXmNd5mM< zisxEPP7bM_=Zd@6ROV{vz<^{gH?Sa&H1G+qvERORlU_#?La2=3&|wTbLqE1r2Et@&ZZsCK}a(NQWYoH3z8ksY&rAzSRUkDAaYy=>%C61QqU#@qo-E$upgpFULi1UliD0qcWT);N6_VBs z)?+N2oTk}k12K51$Vl|Oatw+#ucn!GF5Ie|GzR37kdSa-Lh-I1@j$YkN5ydhIZTmv zc^~!vW(o2I5joiP;&t9hw7ou`LL#te&U(q7K(Eh#D7?mSG}ng=TS#tCUrB*NAG^}( zxs|#0L|xH(!e4%JI+#dP?=~Lv0p@U}8#t)NZ=#k14PfD;r2V>1>5G|wY}d5ed*-bH z1=6U{i>RLG)u`;i2gQpZzO<6`(OYl`LE9-GEe^|$bJA4lb?a>^A_;HG)t^i7Uz!>wlBg&#@9^% zG51X@_#b_BDEcN@{SR$O;s|xBh~N}ik={df0ULTBQ1GaM;{VEBOIjg>TI?*=$w-W% z-QqCAjqM-N@H`pT{Q=m3 z{Y((S+kJQr?KmSNBj3b7+;zbTfig$Jl>9cgtQ=o`8MSL*K;z@%LB~8RcId&vulHl7 zK#uo1Y2JNnC%EZSah_ary_mxu7Vm3jWfu2YR@1kg%G>p2jIb&iLe zd?ZCB6nZjy5|t_xs$L4eV1_&nnYE+bQ!Dyg=0 z^Ce*OzA8UeW4usy^e_ITf&4gv!*q$Fb4+w(03WoorssnAR@uDblLkz*2Hse1s3CuXzdP` zx%0`z?;izO_ZtI=N(nA-sh+W6NsPaE_|viK8?_)BW&X<*i=CaAy-6?PdrJ%ddLzN< zCBa-BJkTCu0TciVT`mv61hb(}69;|Y_^kacm~!YfaQVsnPP0M`aANOdR!qZuR6lwX z-8br7RkMj|ah?6%44ihG#MRBCL@WZ*UcXaeUNND$yfz?lcBzAt28S|SyijT#U^ z2Rs_6WQXd@KmFT(-#*}ovW|4^zeJJ-<`!|04R zd}+fR`fRsfxErNb0<&d9@GFA4V49$PojsxxRApzq{CSKgQ@YJ+0=R=fqxcd_C7LEx zjq$%8_RFZC7(C#S;`oFu;kM$B=WyRs4zlz5aVypI`tp1?T_p7Nc&TxV!SFtkj^sbj zdr*iI9XSF*@1uOt&R}=IwA3>!Ptoe}TyxRNzoY4*z*zB5+J|t7m|18E@t#W@mSbJV z241G?B3zqIpF1{^ekR3Rv$1V-^@VEFyJ+^4M<$}>|6{-$qXGI}QW$wwSLpv=)r0+( z_szG()Am&OaiMgh!ec8%*Fn_Ml4eR2uzZC4XtK_~RIqJ}Z+{1PQO1S)l*%%cWz+PAt%)q{-CU+25dp#Y*4-oSgZ1!*tmsvAiXvRG$RozR%lZ zN9+={l8Yu#OW1S|xXRPx1%DC&fOEVHmF8c|!Y|axU288RYeu`VOJ~=Wfh0=8&zx{? z6dGkibYLhWvFtH86mW54B0Sa!agadH+^S^Fbr`ckTCE`3)9AABgH09o_GXydAO-_GHkf;4lD_rjz78{i8WU3w-soT4=!m z?!Dd&LKpkPIH-|Y=XQ|1D59Lk2qQbf_mP|0!nv^@<#e}}+p$_DUG}`MC(8{vGgRJS zHvuI--(t6tvFNj|fP+=40_9Dabjq?bg2!bqhx05HH4dVx$eSe&NZUJp>+gupgVF5% ziJ&LIaj#xcsg8FXqrRC}fFM*DB7zpx3sC=oZRs)a_>}!6gi)-9%4>75jr`BA?>4;O zt0-_?Gg6{V5NBp2@s{04dTI%Cqur(&KKREC)+}&v8d_IIVRENaXQ+|q=kNS)<7X0v z)c1dZHhmjOZvJ$W`aRy%X<=D#zu+Rk(it8e{MBrCG+SH01E^i|&8{?X5&!WS=ql`h zx;Af~k6u@Q?*rf~Y{FQ&8ZZa8K-o49!-w@Eg>1;12a` zsMmXKv;Luq7}$iTE}T-ltjE zY*dgKaf=T^^YdYIiF{PA?9f8LvWgCT>UDJK5wi?WpBtNI#ph~IsN_`QNOgM^OMC&% zy&JP%Owk_y@YrN`y22*!h4?PZZ>sYKK_k7k+;5W2HajN_u>|m?Um47Rb7;~>EKyN>*TZ9 zZ=<=B2vfX(@Z{u>fP*2sL>id`kK6b7(;=y}3<4c5EBv|>w&swLlrp)9=qhu$> zbG$J-lj6N0{MDnM4(%`W^-q>cXYIB=MKFGpc`J_g;h!50R^Zul#!-6K>s*pXb7E=s zyNqsHl=WNcZgFQjhtzwL&j3I-Ynak8DHt`5dEXUUaWAiu zO!`iu3`y9s`SmD*i0t+l5ad5I_mQn{RlaMlu3ph47Xq{px=PGnS_oXYwDyC(GM=&l zNCw>SU`b=~BaKjDUA$ytnhm`81U9M%%MowRvg3)V{jg-uxq)v%+Y$HegFxK(?lNiF zXh6!X-zNk_f8`_d53L$WH@GC1RJxe#I&&x((Eh1$E}if4?*8QSa(lEP@RaQSBnkc! z--97yOb;Gz5oQLc3(r$Grk!y#`i=^pZ`E*ZJs8Bnsm%9Fot>QjYsuMi&Y}7XGpV$1 z$25#lUyKUV6TRhPp$3xc6@JDXjGR{_p^mAL))TZBRV%iMvNBrl?~^2A0JO#2CZ%r8 z7SDu$a{Hf?h^~+#o%zuERvX#|>PE8>)Gv@pxfH?6NwKgu52UrTy*mo5GrYUbBih*OVl#q>}03`-U%g|G|Xi@DJjyu8#LEhy#!FVe2le z{-jC{!{5yKvMJ2-P7qjr%9!1dp?*f9 z`tSu{+!jb@QmL{ln)~=M|2o~Zd^Or3_ zIHjhe8i{nP%B*}YIC0vstm$T*O>n7iG0zgnr8+ShByowqbmE8pU+`dxuF=m38r)!y z(}9r&%3S<|3HM_-;4MY@NgobLKOc{BvXhoJ@nEYtvc=0!m~=nz;NVg*(;kGG$MA1y z3x6-qb~EDhEjG3gzl%{85ErOM`d@Tnik7ZQ-T0xye8x$H;|&z>;C3q zjOw3mR%I0MlcW8?IeG)X(>N>VNVnnD4Amja6q*>zLu)8~PtXqT^ONe2)i*G4$F?vZ zve7N-sEO>V=!H7QMu)yZ2h8PPEYgO2r*TjSz@Zi1FPCZtHG4iBB#ETs*e2LzR+O+JE$KQ6t%+KbaN)i7!1b? z{6_xo3aAk`9!p5Ch&`Sk61X#VT#gS~>|-@Rz8`?is@`ISmZTf5R# zl^HRDUOJd^v9V)gT-v)gC~EI{ezrHbyt0;#0ckwjj5gwjoDJS=fk5Q-qz61&7})6I zk%Y|K!5Ng?MVcK8^soBQWg#A!uka>{B$_apPv5i62(++B*=qXw(dQf zANu6iA4G2W3JoG}y!CpaB-v$GqponcZ^ogaP#(I!5l><3h9)PyBxJy%<-2}B|IGit zw<%xwCyBu|)0?jPCD~8^aeU6O8(AO3p-4U)m0(gOt?+;?ibPA>oH=in3{X zS$4ox43M(wXVp&S^qd;+x4BXtB_~WGT_B0xS|-vM9NKc^5a7@<`;1hCCMd*Mr#+4K z_=RlHd}Z8Mus!X*6qv0uqo2czeUUI#)_fa~+Sc0}iH6~`FkkW%`+6?wBTTCEsCoJH z%0*%@+6yQI(G8JHiB1J&3C4vlB>%}jV-A08)fjg~Dv|5Tl`Cx-u5qQcXglE9NrOF$ z^SZxib>A-*|2jFYP<-_L3&I!XU zoO{!>f4v8U)SfDrXk+8PqvxwD^@FOT@pP1z5(DHu;i8IJv$eKt#c_=zllqKq51{qI zAJD)9#GB%YcyJ|c>fq~j!1n`2^T(My=+*&+Yy@bzIoWOeJXHE*rdlX-h6TycOfp2m z-LlT729AZv332W5v6;*fR%|bWm!NaC&Dw(VF^kcT1KHC+yO8?&g#41q0@)Ry@G6P& zN)8%i^|G$XGvNcl)4x8S#OZ=dp0fU~{?jKmIubY4>EvYGcVy^K^Ho{M!E+UjyLT`- zFmTE+E;BI5cfHpI(Vxio5ludAUWT1s!ZDVXT+}R#uOFpIUfY-}_uWk`Seq4O+(Zgb zm`ESmyk$B%ZM-NNnCN!625!M?gBC{c41-)gs@sHCkwXqo0;Oi#utsit#(zpjCAIuJ z>EaC`nB&xVzf%?V?CVsW9T+zPBe<3UrJfgKJSVTp4qp;Y!B*I(rfs>FR0tHm^xLGV z5&Xw3D;A`4Z1+}E9|Tqh;>$H>ZT*uiP3=_N@I@Yk3nb8o4lZX5hwx7$5ykE>4$D6CVR`)5napcEx0T8Uylr+m9Hncz?0#* zybZP?gu!h`>z{~TZZL?HzB`T*vb0ULGxg8kszr!r#ONz|2#l zsh@SUhA-SR`F+D8`qXW79?I~q+Qq_>$kBZd65N*o8v+Ui9Xva+8(O?ixIY1LoNa%M z8*DLZKEFwWx*pI)mkl+gD3PM#>F=Ydu7aL03vaeXQ6x!!=d_FW3sr30Eqm;xQl?mp zQ0ZcKVQ|&C`(wZt7B74~Eqjrki7kX#)7roGsG_>S5D=$;=hIVO>Vc*+JRj#1tFzVp zkyopeq64-4lHxgfzRe%@m+NJUX#Xd8Of(O{|2MpJ9!IIskwwI8XVAl`G27wx$f2l6gz#M z1SFEA9NDi(JiMavh?iFbjQP2pk)pcE2x+w&f@_t&l{q{8+v4zn?GKT-9Jy;?+=T!# z;a=9|M5P7*CS|5!9*GnOvu(dT&L?UCBAt5nnowJYi8++hTpV|D>UhQ9PFJn)m^ZC>^7_{G|Zc@M6&djIosEEO#w78$4+~ z9vt$4=E?l3HtYP5?RRo=Vx{kV*;~2(x7TzPs=o=!Q>KN16j|lWwP6{1=PD_EEcg=) z{(%u|9H#`(Y|n;AJ;P@xDfn7)GKEGS`{DbuHXvuW>{;|28>!3l)-YavU2%G_ZCwhK z)<6Prw~Yb{+H19sg?%nN0gEOAI~r*u0x@hU%FUNvSE{ZeZ&A2o)3@dykRNC~%j1+A zn;sw$B@`I3k#Zf~!Gz`=m|zP!w_LJ2@s^urRHyyGrw0a{A( zT%3z#r2mPO`CQdEJ4mfC)*#E(2UqodCG1=a6$z z&BI6Q-3(nkzb8EIyAs}wX<-97+5f6o>5f*0-{e0%R*0iR)7J3~NfkjgLhYJ#Nu6+W z-wblYS2*iO>GK%QTjaloJmr8Z*YMLRw5q617HqfGkJji=rd=B!o=H|U1>%UV=kwmT zE(TY8W2zMZ&6(M3&|h5-MtiKlbKPJL38M|VqBA_Kpv8n}T4991;c%N$XZ{bUfa%Vv zhB2{R8k@(#jPn)XT~y>iQncKoyGOIjS1Jn7*Y`NTq|iZ*YRw(8H`m3?{t{@{DB-*| z8GGPY9%b_m-;!%ne0+D;yUHDNXw}?&oSz$<;sv+;f){@+-6?E5Je+vo55ze-Eqa&(d%HJa_O-@@~an5{RkUe ze%{9*YOhm**N?CfyTOUt`r-6U7)KTN6Tn{(9D2)Fncb$t?-!ic6C#lYVFF+MXajjL zqkoH3d84o3kqdR470Mm@Cij17=W8ix6Z$RZX_#j=KL24BPz#nG0A`S}H;% zeo^29&tT4q1NkHTb=2Cii%yRijF-*qJ2RhW@DxRL-F!TEaO{X%@jgJmY|--fHX5Y7 z{KG>wi)lB|1=-u_36|PlqanGTxi{@=@$UL%vtzp2OsBdAc`4Usp^mQ7Ul_zzdGQ}d ze^<_3@>dH0=ChubIp}@;Co^=pmZw5uZL^HO$1)x2teEqP1RXDteGHHQpw9=&S}=tH zxab>%(}56>S%ndo*P{f?BBSt177X!drI8dA}XFID1{eR z_dMiVWB#@BfE0$D%E}=aFqKe!%mae&Uh@HC+Mr0O3QirT_cxt;Bh~LvCZBVk-2+zL ze9s3TIW2G_GB7vw9#8NSC>mcv8``Fe00^o#l+aXU1DdHEnBtSiQeVLI)mJ)?_ts+M zd-zZ;blXt;*fxjj+Bwv4c4kv*2XQi{|KvR4*R;#tXUJ*A+5$N-%&HF9P}LxWLjWO8 zYs6cvU&BK9LVjm|5Iwl~j!Nh2p*+8Dh^dpVKEs>rH#EFc*^#5kpr=@k>}!0L~x)Kl=rPHTaF&;I*>a(?~UW-P+O|G zp#;GHxWsQd>zq$XM4dB$N5b5AVzBE*aJH4G z8ky$1Qec(QR<1=bX6O9PML-hF%E;5vwJ*!$h(?JBA17JU$B7AvoClkYLgn&Fp9N!p zfd%bYnjWsrNSR4Scnww$nv67=tsOqt9s(-D*hMiRW9A*@4A|AbDfzX0QrUTWApt}H z-L(9YvO<60(^0THO)u?5{-ptcl~0y1f9bv$K}&~3!e;^p~W~KhkWzE@6aA7Wx574oW=zN z0nqYaj&i%6Y8re;+|vy?_jKe{B^Ei}U*KWznG( zS@O0085P*bzsGc#W>`^P6>Qp+#>XCIuFszL*8C}PZWA(~-#I1xRVa`WQ2igA6nFU+ zB{!jRhV^SzR0nM+X1G1A{KLDD+O{8e)PN!^89W^XF+5C`r-J^llLSnMWFKM9Y+*t^ z)|3>O4z|Azon%gU#TmHBKfk4xpFwnf_{Q@1himQ%=w00zskZR%sV^PLHx#4>scgAC zXWDgcdTzgpoBj{lG8=6uD4)TpOyacL)XjheU5d6qW)2m3y%lz^gL%!>IBRZl1BH=S zEb(2hHik%e_v0#hdIi+5G-u=pjOrRq0_{a^`ON9d!}sSAfo+zJKae9LLBKhfSrP=( z6uT=3g4@u*ADP(up|r6w9j?rMy&nr`f4L~bikmeYa|{Lb6_MUNJDP?#wZ!iTag(C@ zCdiI3!~=i+Ti0KjM^ZpiT_6fQ#-Qvbl&wf>5$tX3buKN#Gs@a>4N_L1=tzXHF}iHo zvAfUW$y6+^+=och+)%Zad)ReTr{Nh(WabY(2n{{%huUb4qCwM1$uKz`NDb}%s9R>D z4<3+1wrA`r0l4x@w$%vMKycCgv>_U4H67VdIN86v)2@tb+>&NZDS3b_>B$}KwgJxOy3Cquz+iQlmcI=SNO~?AbAoM{a_$ajENf7f=BtnjW(%1F%{vfQ zARi}wno^dVO1NO4JRAa}(%9Iij$G${{xt&|yknoz^WO<+0xA0KkQS42$G#P|yqkpx zZ+gLPOqm~v4EM1D(H#hTQRW<{{XWj$ChZSWOa^?MBP$03iH##71pcAUNfVRXzW}ML zi#N(3oFHm&@*tPQ9)+9mF&$NN)icCD1KKhN3ZT#`St&L7AcMQfz4DAWNg}|;)q^2m3%YCzCzZ&s5-Vd=j z4VDL${Q{Y6W13uU+_;tk1_4D!DJWuD`-`#33lSnSuSepixSDjUfbIm8F5K@jJR<7U zsLH#v)$tkc1}&tztoOGwo+lB_#Xc^sG?>5`E}3(nK%r1UZXmAZk(zklZPBQNGo@9r zr_~&Ty;s1@1SlZ;r(p4N?BYOu(SKinVV|BdG{w$&`kcY5$NP6OB}FSnIFZ zdw4^(cn70^;B&@~mzc7r!5f^UL_Zvencgf+6JJ|uQ}B(rcX%!cp+IyXB+Eg1n>;ZN z6d8+)%HyOkjex?J%!>8a+C2EnA%WgH)0WpTch+0#A8wpn%ArhJe*1gv5Zx{@0{RnB zZzmwt7d6?()4|>xERejn?I7b+9dD=(i9NWD^6U==+SWd%^U6@B1Oznu9O%FoZjs?l_B`rt$0ZGXw%cxUgj5~;aWuY`2)CS6}_V-6zYOCdf58V-3USh$AMBWQF*P+Y4 z2f~lr4(6&hu7|C8eI?fjc=`tKWq8?dQ8dWq55*UfHr@PfqTh47GO={QH?+G_4d4F~ z7~+N*#w6Z)`SzhcZ*Km$uE!pt89vmj5H~oV#i}%BZGx;1pXP+^{P5*AC-6@kV~O&% zbFC_zdPX#Vsx@Qt*yTxERLD??ZSm(R51%R=kq^$%owDc&cWwC3VP_ZJ|-4_)@d_{PN^ zr`;+njiOW(oo|>L>YV0pKC`mmqw_Q9ZoD|hRY-U>ZdWRr{{Cp ziqmBL9gI=X`d{PqC9X~4#MdU4(^F!f40z!E{@Ql!)R*dN0sU_Lh?5g7WL`Do{)k{B zFzmBVu-OTarm-%b>Zh-bfA1KsQYq`a6L6!+`)ldoUly{J`h=POV zh)mdI{0upKm2>AetldDd?d7xk5bUcf$7((6gC4X@4W#o2|AP5F>pa&>-%ZtdGcB)A z$DZ6mchKNU{kZk<8y0(yc)KF)l3VPan9J{oLE`KQgf4-c3doVhc-aYgFo8 zFKzx9)QQyb*s~tvZGMT{n^5REE~M{#ddm@6`Rb{{jF4*g9CKRWx6Hh}=fc7<2T0s~ z>DYQNbjCz41~u#Vc~7h;>47j{Qrw9z;I?RAV@=VQL|CJ&WRRTwy@@mjy9b$zy(w_Z z4&Ks1PpZ|rG_9D6BvV*0Dc+>gD@tkoX*Pb(`6msoLI)cG=m8mUDle3!dXjrF=ep@CDR@N+CuLnLdnP3A&=z&arkf zNbAlmI%G8U5X#blEgG}4jOZ`lKqwyIqj6lV-Xj`p1>##9o%LBvB`G#7^Q8u^eW2C}%`N!FJ#X(enVR2VpeiZ3;wM2o@1O8K z)5I5;N_FFkx=#5?Q0+0m9m}H13K`g+L4Ccb@}k=0pkIcQn! zrNhRCVuX_k-iqJht&vx;>Rp+oZo;sHbC=6*10PcocuvVvJl1w7l3tJ42dI?Qd~dC8 z4bu(H`$#&EYFUb*D*t{8YihYSm%;o68Eau(&bGy9o;8-i17!-WQ>r8*gvyX52DLesHhKy*73=qFl-R%rKk}v-N6$ zAa6cbSF0Bn7Y`HP+N2MnmvrjDsyEl{u2bW;pQz%=+lmQhe*8Y3_NlyabrE+hDnWDI zIpa*HmucLVcFdvBWxfE1PUdngZwny>zyEH9-*qw-p2xTzyFqFAJwk4+C+7uX{DqA7 ztlYNuTK|OSI6`%g!cMPVFG{pY(*IXEn)kub0pYREVIjkJ&5#tu7cXA4V7!#Ud)vlE z@Bj%H5zmaK%NM*Oz`(>98HfgOuU6yr-boeGHr?j&(R`Uz@ulmk{B9p0C!ii_b?=ExrqhNEEn@EaxeN|W=__!XoBdW+FX6N-M!`H`1 zRiX}7CGOlZt1e~~ql8x_0~#Zj8>>{=j3DI~8Io%gsv5r|D-<#vIhKea7?c&PNzD}25)<_tUva>XxUg1 z{GJuhTRJeIU`^{akpx>4wo07|WP(qe+0R8+>sPq;uyo+K)Uaeiebv-7WJ@~+bt`?; zH-+YFU5@>_PN0@EZ1%_2=W_k1@{cW|%f~(!afV?*2(OX5&bgcnQB^Pt0?VA{=$e*P z#k_37w{1qQ)PlJ?pEghSyGqB;@GS}}Wo0LBng^xQfYgbrTxthN?=24J-d!4DyP8Zs_7gI2GV0v-NLQ&OYE;j^qD{V3y z%EwZW-7pEtW2t+n>qbrI^y=ghf@>oY+%^T%8ik0YwJs*f5pVNs!69iHnB_(6 zdvyz4upvLZe4qC$KD)O!v{Bf7e6P%Cq&^&}KVK_MMq#nh%&#w5QVnHhX0F`I5Z>%w zukQns6OKhl;5mMubfw>20z1H2~^l z&x%OV1S}ZkG_~a@KRTY~T#3B>giwkO{pFQMb7W-~koI?Y7v80KU!qVv8$zgY*cY}6 zJoI}K+Cno1Yk9BnW6XGLSS;#Uir~iC#P+24P>`nKyYuvtQ*+gVP(4PHvJ{>l4s%RQ z%wxNX&f_Q*td0z2j!^g&4<>Pw8O!~ZrUC@whAw?s@^_t#{HVC?|O{s}H*B%DJz;!T6 zyxeHKo-$t0TwZF-oyd+cVVl6TepBz560shyP$$fAaC~t6_~I3F!KgB&?{BH*1!8{D z5W|3SpLG+vuN6UoG?PN2tKMem4>tG*b8NiB#lmmC9;W73DH5~aouK@LR8`Y?hGLg` z_-A4LSiUiR`{DvptRGd0pBX0No$8wIE!x&2zWFx0#(X{a!RA?f-$5kfrfLmwzeWD) zfZGg6_sU&qm%|lh@2J*^s@G1csb!aZwX>WlIrOpBU}>yQ7i1)ne*Sgx+cMuN;j==& zHTICE!$V!)6vuFDXW>d_L`MQ!uk(sir(w%>!;!w{&I&K8x1{Z)*WHIf##)eM{f@A{ zSW2Ph{4Y7F7@yx08OD3IJSm+Kl!+s!82aObO7dE#!>JL~Hr3^B&?%LDoW^3fF~%!6)OH_H)-`ewLlX)qeT)WXefUy6z1ZQPW3%m-(BfZ3Kg$! zU(HnZ+S4VEex6l!e~wuD!0hKZLCTLzfBuSzeLt8(TgTm_uJFL9o%T=a()|%c`C7!_ z#ph`a%ED#^b?UR`Fwtxn(dK}MSDhNZ4qV~ZMRFhZgFpdo5LU~3$I?ni*JkW8l^?MY zDAKFD&uAodF87n5(RG$)ArMJ@@fVhXy@xqSnpGAA7vk11l{>pVXPP zITwFkvu3r_Xgi2cbN=>@m+8xQE^hpzV=MA?EL1#CzId=j1m1{%P+WQBk7{MUI>ZBt zJB>anI3yP*XRP(B2h2;W(1|L=t4zLech@OCF_B270i=ri04zGb#!I7S=Coknk6GBV z*Sqq;!^^q(Q(JokZ3EG1m)shm|d$i zJ7jIVQ8%Dr)adT(ThAu4ohr7%Pf1cquUf#l> z(+L6goW3jajYsNLy#>@a{XT`!wE&#MmSgdtNLTXwhUu6Z-T;S*@A)0uG1DTE*lq8m zAOu$n6D`FC@@l7PUOU-j3+z&fA=K{0`KhWK2KD;aS|(((?L-yZ_M0%5S;IVmRlNZ- ziRA;WSEd^Ko_!2fTSXq_&hE>@D>0CDy6QAgG2JS(f9Fb+hO8}u8x%P7>Atw--Y*@q zlPOUnHvFnR#3>)LwZ0y6zsJ1&cln%7&h*I0gS?R>XUbZZjj7E8E%!lsJNKD`k+&Br zbRQCE^~JpU7_KkKXK%B4e#ZK$&+x;UiU$^epmIC(Hh#5H{5cjr+)+6=PXh1Q0~s&f z?9F_&!SI&nyG@0!2_A&s6r#zD&V2bjSFBVaGg@JGHt7Lt@S;Y}vffuivA?+&BWs~{ z`cBkuP+vXBln_4}|6Z%_JRqRCJ?^DuAYu^vg!4|B_M4h7qu~AcZM9V@jc4_wk>NIt zODVkJpAp=;e0vkUsEBFR$oz(Kx3q*Y7C5;7A?gbyTHX>uwIB4bM}cM=bqbTVr|}( zaD>|~J!an#nO~DDIWuVdD0RJ5s^AUM39)>yo^{>X8%JlUYo+8PIz`*k3r-g8LYFsB zb#2qD^AeOF35ZTLh;f*zJ~Hh#$ImO?RmhRHQ*hH~$tw=DAt3&f)pt9y>4rS%zO4k} z`t7a&C=@ydov)Q4I{(PF;)V0cx+tqc#gp|A1urtpk=#o(rEVMV)iuZ|WIulAQ*%7m zD7X#62S&lbK(#U7tx9GyM%NFb(ZoMr9dvn~v2H)HfR~sn{7(1PD@K7&| zu*=4OX{f09QC7HIx5uu1gPNQSYztyawfH>4`NjsfjOGu(mc7G-5Si*(paz>imo>R- zAz}QAwzD<;<*g=LMSCWh()G$GsgDbD`aNEypJ*#UYb1Kv%AKj=;CBMdy_8Dgo4Ov? zY(>Z=9&ViQITn2pJFvGP$d-k#yZlV8r1h^Uy!XZNWN$^t2uc#;)qC?!J7@pt>_Eq- zTS7C84PC-42Mmd)<0o%}PM)BZd5Hd);%+3xjo7FeD>%_7FYp{+m}wL1eBR+0^rcJH zJB8@mf_s%Wo_dQI-aqE=ccYEN^37MF@;422mpO6mS*80~;T<>V_Mw~V+hFsfXr_-p zmEf)8Oez5D$o#b45MP$KpmYes%l7Oqg78eUk4@C%YfaO|+dlp1F`%LW(M8WMBx6yS zrmy6#ovmPXJ!vj7ue)R5{Zs|9Wve;YC_pB3?z3Uo=aGZaXT-fA9Tw?xrlN>lvzbuwrW7e3 zgS92KIoQY#nSw$rW<>E9ezwFPG$eKf#Z*P)>FIDu9+#a7n1(u8RcfJOB)Mjek5gdL z9~QA}t^VRg%oBE1K4ew=XNJ*uw>p4(C!OSY4u-!3KT9zLCrQO|Fv2zJvMJ2NxM zPUHup7xjoXq~Px&k2Srb$;wqr?f%{Doxsc0u=5?p8V*5w|Gs(nm+0^9W+RWthYI_v zW#c`_?W*kBXC_Q?YQC}P`|mC^ae@_JR@Na`5aYUz4g6H-3*F8idDfGl!imii_L2o| z;Pix}iu+VuF`CNVih0IMkPYyg>5Cz=xfqPwLoQ=5!sTt;zgmDVq+>9vdhNUUUyUAU z3~iLfcN^8NaLnMnB~W-I@+J(w`^Fzf_p6ASZCH+PvFw1^y-P{7rkaXo{n)~@rCeHH z>9bmqys~?In5$Obla<(ajp(^cQii zpVx|OJ^T$C#P3V+=pJQ@;*1v=PQr7XL)mAGv|F6SrgJOYP2~g+pyGZh6#J3;zcfPC ze#*w&pGrH3)%rNiJ0IO=kfp5UG$~6O+DsTsz~t%w^w}Rnk!l3z3k)TN=~tyjX7VK? zfwG?;P7`ewUU9p8^~5)XjQyQjT(W*-uFm$N*F9AbIjd%$>4GGqu(46E(jtANlxf~Y zpP0xUtd1V}l;JttYd<36HBbKaVtx8GlAmgW$$6!7uVKA?eGjHTf0o62NqGmBH#?3m z_&Xcq+?`(!-kT6w;M#d#kX;6jf^=>rXN$B_$vvA`^pZ=9tis!A$Nn0h7jfqRdE*)# zPOEve6YZdJgQ zlYY6uL0c+eB%#>meYRMfWh@7GKyZj;>>~xVbl!9&?wjKpMWK{-^J;wd% z9*Qg+iKOsc^Uukl+?cxwnmvLoOh^lmtz9OcRekgPueB&`;GiZZH&%y_Us_tcn<$BB z*QPbkSRzqSSTyu=1DZ&4xzo+V#|MuE+#FY|K8+Qcw4Dej0aOj&z1d8(%&ngmO61qj zKe3NQzU8{DEYzep4yEtjLUl!Fw?bE=qGVs0!hsl z!MgEn9$S$Dd2N8eY$DSCYm_R}Jzh28#`PCMFQxyGL4G&#FX>Ewo0<}zOX!OmY|-Rp(@$5$O8SS$@5G8T z=eAkmLEIGo39hBb{Ud!1^|L7>!0%^&go$QCYfzRO`vP)A?Kn+XD&AfduI}XYZ>LI&AOXMmWi;7zDMhYAwB`(^qF5gC$+BI^p3W+j5+XQ=3PpK>uqf z?K^;|YgWHZ4*E~j9iJA#vScNl@*9P?(o1`&9&>RXMW|svNALD7Z*?mL*P44SUn#dP ze+oD}+AEgS21JS4(D|0;8dXZ(VpW>gEF=zamPFqA*MRdxC2_+eKkvy~dKvH6PFV%s zk1jY}!|Mu=ec^g5{{7HdK z4U?ABGge2mUrWbwTwBYauChu5*0kRgFWPfQ41*#a9W4dDsmJ@PqEkOL+36bNol=?P z!lqf2OkaI{Dq_pc^4m|gN!!In>qEUl`{E6d0h}K?gl@&91qrgHa>E_93LUTWF0w)h zZv2nD`N1W%{n9%URmt|j(TWA4NZ`sS|3FM57u)~XEczb_gQPGbd7;So> z{O%rF%04D+E3U}uX)_n?3w_8;j2QKAwPB1}R8G<5(bd|Dy<4Z(#88B!0~kx2h|mdM z%snB4>|Xm5W?P9TDxl7K(O#2YrK3tbzPhnb+{ce)HZ%u?M9ClwL8Bl@3=7#v?gS}p zT$QJ+ElwBeshBiTnCLa{7fb0BoYaXL&Wjxel!1%PpIY~>fa2$XFj1f(74!+5NU>HN zFjY0M88qgT_X09O^nY4lU#y}&|4;*-i}xzO8O!hM-31=Q$1F*X1|wks<$p6 zVUm{jxo+b&*4H#fIPO~l;7|Dtf)`3dAQm5C=RlU z(2)=o0GG6)L{;rgbL8ICNibfXc|G`v0O$KO*VQDeH&X?>BXsndIIxI{ z;nNLVKmW#?c((<{^|MRZp7*ZMOVu%@J`O^0@)5vt6ZEocTGQX_i(3dBJT;kBJ8Hkz zlAR4a;_;dV5g0Y1u>I?|&n7FNl?X~$-`YGgqxW|~3KEG)d~>}!utvaf*q+UYhT^FY zCMS|E@if-!Z|fdi)OwsA-urpjJRwe~D8eECVR0?9W*_b2w1|Of7<+HnvLl6^I-kYo zZ=Blp?%bc5H$^=J<32E3TnoI}pyW0&13&|UhyKS5C|&?0NG}_-eua8l3r}o1lx;d5 zm^Udk^f@*|?$xx5&+nNVq>c)^fY5ME*dB%UdLU_Rc=c#7S?fhd4^|N82BK)VuJJdA%_EcI`@z=FNODNpmzDWH=9iwD<}F5Ewr(CwL7fc!Kyvr)&80Dc2GWeY62`^d4@_w-|yZ-*DEk+!HtnmHlLmHi+^jrI%Q% zva8kJy2JDyF@2Mf%Lf|LDZtPNWlqeq6e1@9jdPMeo`L`LMd!7)JWOlSek#G3t3=alP|Rs-A0Wfo_JVH$3WQQWNX zdRzJyA*IulY*x#2&-)G(Tivg{WNfJui=RdQ^nClIgdKeC#b0}z+vDq8PkVXRC|2q{ zu{jSqsTD!xV5d!EG&{=V1Je3rm1<`Tz8-jO8G?wfrL~1d)=G# zcT6&}+yhEG`-w0&@h~?5q++=?#>dB}?#I!Iv?2=&B8VKIxMMKvMW`Cv7;LfZe%=cb z&4?=t7TfxJ5>F|%3u0TAxo=DH@vxI}0kZPZJII8Nq@Mk4QHnY_0{h~NnU9QqFVxFLhsDap&eOlkNwUkZ1-# zkabQlF!U4cPmN8kbZ?k|?p1tA-FfH)K`Ci2ak{9d5Rpr>m*SEsd)P*$T2W}U*41C9g-)3@_AJ*;t>wl!tddwW0zA#$NqUs+f-ZJ@Z0k_<_5OpOip|@mLK* z(+Q7ZyJ43(Z_lD~_6g5{fQ}inWb-v6jkO?&gcX+zz?gE-zW()QsDUCb@2aF~X7%f18_u5^N!K7zpSObCQ87d6wj z0x)TX{7riU9_LzyMq&~owD{^M&${_a2|Z*RK&0PWdgWBy9KVF2_jUT6+88XRtcEWC zWSqdZ?S6;)GCDZ>=cD6OBh)l{}F3Vz2(hpCI3>D&-M{jLVZKn z=&XMB0imXCQa{<#; zkNy3?mU6^YAVSy1j}q4fBsk!r@zDOp*&Ce=iZOYXjUX`o5Ik_7L@pY&3<4Z@U^1IP zr#=tRP3A5IJ^Cz(_TNcd1AJap6U|Bm)H0Nx%W24gRCrMQgb0CM>L z!RFR?Mif4bomGh>&h!B#MP@u#D5U&>XkChA5^+}^-=7PNnO1X^M8~Ds3P$5;H}a3D-{e#53&9cbX>jv z|NqE;nbXU|_@O`jV*^(Q#rkWLSFiqGm-3D_`RZ*B6$)(V)xRFus{NB1T)h(W|Nnku ztRhsn`5jDtCS0xpP%F zOF{mAY;;TY4qe{pB6OtkcSRERM!8>P~!Yt-?+o z6R6W>Ql*1LUSNG(uHq3s6{!!n{H>q(AG;Cr_c%^1j@6BQeByiY)I^q%Z)6mub zZ6$_ST<(BY4)od30iVkGnUp_zZAdh)TY5b=$$n&lT6Xs~PlqN%PQ@x4?`RUs1?&3d zhu?Pc&A4$0rMh)5I`rxz7Qcb_7P7r^79|yug{lp=9dD5{6aT!e(Y1JZ8n-HZc(zxd zt!ETmh*uY$C3`)x}nFMFg-qHVwCp=%{{c~XyPB%fS<0>C0i_*dbY zS^PV+nRX#`c_*E}tFc=v&g?X^nSb{32z$+$>+cVfuw9weBgh}yjb3vTsFA%dS>W6E zp6VjE5HnH(AEs!hu`ywO2V`FWvmk%&f`{GOitciuZ+{v54rWSG%k+co_0P5=kJs#T z?_Zw4N62@qCzqdqcog-F5#zef4)y#$b-i_5R881EzS7bvNC_w*0umzKf`EvGv~)<9 zbS^DO2+|4yBGL^KQVXIW-QAr_EM2?5S@n6|=Y7AQ-}z%b9?r~}GjqpvUo&TJY6!h5 z)6#U&6Utk$WbwRr&z!$9DK9~3m+dAz&oomjyzjil|6L;Tj}h?~t=hjRf)2yDo^Hes zWj|R?X=WJ7x<<2*On~h-8BpnwzX4@`+}mGlO>jAk*CeF z^Qv;GDBd!V{q1Tb)BgI4+sbgCW6kwm)|-*BE-^2=_+EDL@@kqg%iCG2_0h*;#L^`k zxO_hs{-ViOFl;#zysJ+ATM!ZN-u_Xe+bCXFzTB44#QIOq2HKePIjyo^#wpTnsHi*| zH%n@mqFX;YHIcW-o^dNkwlezP?aUY%SQ#SdU~-k;;6t^|-mTlhXJx9};(7VROz5M3fcOA-Cti- zagG14fZ&<_F@x_`McD?i6o+MK71XKk#RbMnS$#L$G{m~4>Mch@goo}9?BKuSEOlMw z%XfkJ`d_6NjCD+PL)Vyoi@Lv*)Zf-$*~2;q%ooPos{?v@e1T~Ad4#_-Ymf=L7D=QD zp%MB7f-hgPfHBvXVoGjZta2PTIDC1iz_|HLjLDPask4FBd5n|dz07g+ou5?xv7?et zHSShM#rcXTdoAnZiwo&Lk}r?Kof75b5j*A-V_c__r&HFxaTm*_M<^BHagX4)S^KwF zudI(fl-ALVGb<`xkb4cFl6`JN!{eeNC4EmbnmfNe)Dmm!U%i#&<@+|I?w82a!t)_A zxU%KyK0F+&S>|k!zAZm5?>!D06Znfh{^Qt;exUW2KfO1@B5hB5g$bvAUY+B5YWTv4 zl>^s6Pb!_O%NU=95-tTX`hAxE;foL-dsqADk=(63EQKfGhWoDh+++41W{=a3+@wX^ zB4b}#_Iz}sMnhEy;veVidH*^x@x_XE%j}Q2(U%cO`6fozdwE*Wj8}r&$Fw4?_pW2m zXO*GF={5;B&L6ky$#xwXrE&2d=GLhmzdOO%&f1>!|O6a!rlB)qiTvSDNvJ?^PdyPFhtP4kteK z;q+{n7TTFC%f`V@re1pY)u>Ig;X#qkd5#AA9P8o_baR?W|5fEvA0;yq|L%OFiW}Ua zXMskWP8D^QDGYaBJsBwrbl;+6EOGbiIluWv6IrFeX6G>Y6Etgd=u^XuZ0nt`)~;ST zP8jGKjqv`;#k(9GUHzx@kQC!$zBRr&&#In>eiP(ZeeIqWfUwZt`!MX7dkEa`^u1`wVfj} z-3nIKJ(}`D)5nIPLo?edYH@Od*_9U4B`V&!Q=38kMal_7tn0M$rYY1eHF=ND#mpx7 zEHa3d3RZ&Ao!NBzFT%J@!pHY*SgYOUDfvZmKq=paA~|*FFa<{FjTxG&f$nf>zE2SC z*a;?$C)6D6jCr~-+ZkGp4Hiu2b70P0tE{+5DG0Tm>N zz&Gp3m<^HN;9H9Oox zgeVITci2TES?}m5(N4x@)la->tU5m0h&NKHV1{cQK?ENVy2g{Jl>0$v+l7Et$KS(Emo;i6Wt=osj(l z&nd&r&AbfFpIJ5YuMl?&_XA_7XTsMyRlXa%b{?b2E1|AY7?>3`^IBVA7Je$whi>P) z>;Hfe>$8K*i89mrvs#ZTx5JNM_5taFNh@)8SG|Sn@W`A5T2yjlWk-vNu!s2s{#I!^ zJQ!Bu*`W@G&bxrZX#uVJw=w@!Rv&@Bb>ORd^_Vp=6lh#vWOxVNPbki0XRT6?%Cc9&$;hu=3duyzVkI|j=ugEfn7?aIB zXxP-3dr29R=Iiy@X$LtjEKw%Cab-NdDT(Uk!7%-FLb_3W@Dhu!r=gnMK zs@D+3%F$y#x(Fn10hC0}PnenZxznyqqeV{6Grv@WBDY%a-y(VCpHKkeAqrNhx74X? z324CKN@m5#Zu@Y9e)6+q-(}WK0_#jzsHhp(&{obbSzlxloXVGbces4D&&0T^)e1%c zBLDc2I-z{=+EMFM;ryk!YTW^vSc80n+)OO62^gSUrv3{5JyJSl$%vbL1;yK6E%8Km z;d)2&?~XP% z^8F|My4Jh*`FQF}Tz_163O6CcYfWZ3cK68XimR}58eojCL=_JPTeeQ^TQ*SstHTTfsZ-l4kxy)PZj~-Eo5fjx$-)IN4 z{d(o7UA)}DERZ0R9d#rr`c8;+Bx(n3Qo-5x71lV5ex7krGq!9Y^p&|E7ck1dgg{96 z+wC-TiMim<7{84@F!KLK%Pl+*kVICd=g-PNbXmy3U@4(Li(*>Ghu_cnea+wZA9VNo zzyFst2)D$5PzrsYFD0fGFFcAO#tv#WE>m`^)6aaWpuuk*N!T*S^iO54ZUONz!m<+a z(GHEiMWuO8Mu;}ZXQAzQZ>q9i1afR<0fsWo({Ck1}r z0e-k&VKqUx11Uo?z_Y1!HDyiz`HPA_CFbw0`g7s`VI5$z5`}J-3qRzYz{W=A;HG!g zA(MWlIcjy^664SS5&}^%3I~fcLWhX~`}mO@Tv)1{KbjrOt4D1V2tB8Ke&GvC^G147 z{~kh>-GAuA-(~;L^ZpNE(?$;QyU13yd^RrlmX#(45q`CH?)&i?k{+eqZ1k#Wa%+2g z54)^Kc(^CNpyA)2LZg19wY>DplU~V6i?LcXru_AybHHh2yL3e7Cf#K`Ix7 zxu1mZAd5@KlG^fqM>tF-$Pla>izlYkqQX4HqVL(v;s^>jpJ<`dw(^pjOE9*X8S$i9!*EW$;KObby zCD~YCmp@xX(W6e@TU%Q*$!qCD6IQxD#2vTQ!SjkH_BAVx>W2%t{7x0TdS6qt8tix$ zyco<*^&L1Ws;krG<5L(OoX#{vBJMQQJLk|{{Or}x7n3t>jclLfN6k#T+njQww--RS z;qc-75}(&FwzN-f9c?zj-oGI}7$5%(*QqO|o$CW@{h56Io5OM?4Vnd-MMKfsD92`j zDcl*q$|&%CM@~A}NAQ9rZ7kn$v2}gQdG%>*+93- z2s19W@CG_?Zd(;Mn&JV42D!n?bR{n4p~-yC*=+3WycRvTpl537!g=R>*QXEmXby+e zCJ%nx(6u6*_(RHphJC~eN{iAzNFzAx5!uPj%#;S1Fmx(6*pt1tJrQ0k>(i^LC82a) zg7%j)GX~R+s{*{|TSCcR6THxs5pu^)dhabqj`{;9PZrHQukE_SLy_%UH;J?~HM14C z^mFCtpuF}-kk0sX7N3GiNs&I~>HuBKp@(xBT4eg9Nj8J%^Pdm1s-auuENm`+)_-|y zGlNZGyjln1bgui==y`q^PN%jGcu)t>1_boWVt4%xiHq>q**m)fXSZhyWvAvGI ztuo2a!gg@aW{$Top-2KxM`$Fih~{klCe7K@D(utt_V(A!*HU-THFzC+ zuG)0uqxDGS=1!~bQhDJlK*YBi`84- zn0TLQ-QPupwDR%A&<~%61yg`zdD=Fh8-Xi%mnAbhHGLMeL%_Z?nC~FSgrU z4IESaRpO`dz9&ID1sO=LWMS9*nYvw`hK5zUOT>KW!O{rJK`V{JT8{`UX5ZrJz+yB? z{&>c@+HE^`6eKpup|RJ_2B|xys}?k&$o=%efh<0+HkhHi-%g#UGgQyHTh66}HRt6P zzHc|MN!_Spn#+q-lC?aR^mjmY&n0#Od6oxgp?=(U;)~~KXcg}IaWZ`<( ziwYBcb`o4IL)QdiI^i#{i!6iKgRP2Q>!IA|`NhRb=V{O252m68C=6dzs-h($)pg_r zSOnhg)z#3@PNcF=b$1hswi-(VQ z8ssceJ+rfwQuD!<_rA1ZUh2A4LuOFUmo}49Rylel89oiN`dXMV@LMnI zKsJ#-hagpWlsl$GEy@>Edu~PL3UBz9}-@?c?)9kjbtD?Q1P8|sJ`QS{OzpUfxaKe9o1}H-f!LdEJ%H};??EQc$z&iImzd4f7W-=j7zVMw&&Zn zDvo9B%ffWga@9pO8l4iCti!{@R@1fTuH(yE8_LnB=K+$E87fakp<6gq{RD*qq)!B>|N2Y)1h-Xz>a;qEepNTnpxW9JxUL2a7 z=y#^}+hlcDrYt1#3BdAzwb#%(uB@JfkDxUN1~R)OKQNUAUk(=85oD#}I1w$kl*x%a zTT4G0VW9z)VLX*eErx;xJZLS*;s3ZrHWuuJ6SNt;Cb znJ00mG(AYIa`1~0*1y!Alk2vRvg&&OAoh!d81lWr%=o7ycmCY6J#x5RSR~aH4c^Oo zVl{bk1ZCofaq)+jZi6{;r*6j5RqZy_P)Wzkd*WpPR9o+bNzZO~r?-*N3W#B{ovZ74%h|BnzxiGa+H;nR@6hqeQM9OJ6T8#41UNN@{QlwdQK-dq1gFW1(n2NtO6%+l_i7pNR=Ep zzhe>jbhX16@0^Af#|TJll?RZDmeV&qVQ}+caY~UGV&3DNee!{Fs#iYv3U_0ZX29MQ z$idmVU*RZ;UyWw8=$WNbx~{r9Q;GZMaD7h2G|?B}xw;#w?wH;aRyoTqv`2DPy9{h;$K!qU!s}vB zg1Ou8=!3;#z9lZhq${($y?dU4H@x!W`3I!6D(Y_Re>2E@-08Ass-> zgVh|={p!ggN7FW<6r2MO(ON_}d!^H`R=FGMUB&i#*=kH$3@l;0H|t z>Q|XntVBz@H+>tuPDfDs-3JMWmOE;j4;FT>_7UJ{SfS0U5%a5>#$Ufps zyVNM)CZ}F55e@yDIt!2xDYN#n0%Qt6s+L@yldN^JYvlnen56G!HS$;KoW6x1;MAuJ z6pf!k>3p?q8V~K@GYz^RgXD<7_F1-p&$_tX$ki0^1!_GXkwWAU&}W0rfmfHGPxwn+A}f#niO zmOx#HE+zYo1Y#2~%jD-Xt?$ma0CVPhj+(6Nt+`j+Kr$!cMUM4_jrI}geU~zm=9_h8 zcdOJ*uk&SFBHlk9+);c`)_T30@9wt;Y*o2s1o+{%O&*ax4j+iAQqWCY#jZ`tf^*)- zNt`|2AEzL!sBlKE`kAjh6AHQ`9n##~f3?mRN#cfDUA;Std9M5cY+Z^#Ad+d3FVZ|* z;t^~jxcs&!SLo=rDIdDsni3N&Grnw<4Gn{y!^tTr$%0qYQdm4gxgqc0zlT6(Kw9+x zOdN)n2ilMI8^RewNir;yDcaqWxC}$wXT3?pY~c^=FR*^J8aVKh;1baVh9eLYIG<+_ zcNsPX%svR&+{L)KyhchH{5ry5t@LznJSUx(^3I&0A@ue0Oj(odsZ~}?S9{W8zAlcuOV9#l zI^gYFK9Eq@XyFU%tJ3Pwsw$a-NB&WI3 zLJYl<_6B`eFE20OK_JXNiluZJk^U|e(}7RyW#8o)`#_m-khM`7*Bap*1pddxWygTjf zH)jje=D<@z!v9^T55{{u|MYY&u+QGJ@!#Ut^6(5G zcNzYBs9q~-g!nA2J@+_2F`le~$65hMbmGyiAuO@)mhuX=y3W?AwTlmM`sU zMix77`b}FIE*5?g(>%#gdE=zD)b_<)!FDi`jZ2buNl7{7 zEb__uJD9jqj`Kd0BgLnbG1n;eR%l8VgGe+Nn*#cjsB(Jpzrh0}Z#PXklt`|$5Vo&& zEaSDK2=MW_Us`fQj5=4kYhEF58F(%;YrogceOXAxQNmV9r7^kvpFyaU<7kA`pC^gE z=e1%e{;Bu6z(zR_MJwTUtE3*m6Np3T4=(tet+Q|o2*^Eq7WpzwF-5?1YwUqGV!~u> ze0;vBI+5|E3m(7y)YN?7$?+`9H42JQtHJDi+t0ijvuZg?%{wqucykbLOHU6m0R?AM zJZqfe*2&&K?f;|>0WtsD=B9!;$bA}%Dw!?4CN4g6;ydlWySFFXo5uNG#De(Z@=~2g z^S@*9k5Ek%+%$wBS2q0?my-+wtE$|BP#@mEpBsTs#=;^ZBKFq$8Ed}P%4fe0q5Cn! zs`jN(06M{Y>vFS%n7k=45TnrJoYr>c>(%7sWVV!hio?~lUM*xiJLXaO`T2jF_$W^j zB8?ez7glG{OLt%AYw6&Rr!$Crla%KPaPj{U=jYzpGdBq?cQc1i7NZ-q557kTIvw1* ztC$w3JW?DG5%Cc6sj&Xm>FMb!7nk_6w2Fv_;Smwfb#x|-F=~L|)t@X7tPOV(@$vC> z-Gjs=akWfNrZ_S{{3TVwqs0v{71(Wn3j7=}>x@ZB(XHdS$1d&WM%>lawcAk;F~2cF zU;tR-mR3bJDgv2~0&6?jgsSuZ=rsIAiXMcHl=987R?&~!Tfj+#53MMV@A-ml*~yAGLqtq74roe{{(%fFY{@oWu)Kn$U$ z%sn5)!$kI~%d8f?^ctw&jytb>1`7A#!v}~z>KrzVN5zMKEs1jhWRUm?0)!~(P~rl; z`%6FwtEe=_|CbQD+c{l1DI#}R{M8R5rEcwe_e!jB1~bbAy?pvE7bhDI z*&ip2&BTI`}7}OU{0Cd>7!(v1TNhTi7?>El!&)c|h|Br_c1TFb6D6g@Gka@m zwr7fpU|*imZOr4-RYL}LcA6^zm_{Sr80ORWOPyCaZD-1{(Wf?}y{T+59i8mEASR{((KIk1Wlg80rM0xOlBYMm%vzEUx^V2jUFeGS z6b8{dII$`|6KxQEuC2{<>OECccCz0KRo%ZO?1FcLMQM#RV6w(hEF7QUU3wDZ>3zK# zNQ5Dj{M1tjz!l#dd4`xL!Po>hr3_~wn|>#0j-SoNd`?JZWMtOX2W~(}dDyTra7pC5 zY$-8;Q5TqFYr zvOiac;sR72nw!f$cG*dHOTqsMLzki&trbIbr4dqpTAi=7t_-oUu~{@)^Br@k zZl3zqo8jk2?^C_)4f3+6ZnRg5L(k@Vq27+b=wV?IHl_P*w>0-*zH)XpD{{Hr7DeCI z2t9Wc5vQ|nm@>8-lt#4w`eo!V{0%gBZEek{JyxKv#L%xEv0*|;El4_9?U2xQgObu8 z2qmt!?Ho)>e)hxpe?6{Zi2onkDIAd@ol1rErvgz~KQJazR| z2oHaSJaELr#=r^wTU;Nd6*qt9&yzJaE@Wl&B#Bxc{dsiiS5)Ue$l=MdFznLjA|t1y zx9QK@KhN1jAu#?vDNk>8bg1iNz@M5vD~+Shr0nXqq$dqmu;r>i6&5b^}b5RIV}4U~%u?ytgTPFK*_P zxtW}t7{acV*+1DrOV{Ale!t-J9#Ngk)vICdG?%kOVK(@N)jRBdAopM?>0SO&d3fpu$3KTR0cYa|jxY5AC30j?HHZS3%6|J@v+vdZ6lG;^QM^|B`eR#n5ZC1g^jLM!-via>Jg7s zw6x?D-)=R3(ki(mV^L1O0?EzGYp&N7z`{9MtV}YH1lg%4Up5rv=W}~3S#F)fDO{?{ zo_Fwot$^;_9F+v6dF*-uWhft7ldW{8UJh+6`DhFB+m45S7|by_i*F~z3qIMGyw~$l zBKjZ_w{LW`W$x4M`<{npl+=`>haZJJ&j@3;r&|`14C0@iU(C+V^~JRI25rrh5sB%4 zyaHj)${MRNV=vZ^mR3?C-rBM)vz*zd6!uVwgC%(!bB;L7go|9g3Osbv0)?R@$`?wL zs&)V7VjU69-f{cj+QcxWVWB=Ii(Kfc2y{bm*Q>a$Dt;U4v-BIG4a{6|!nimZ^ zprTz}fv^%1LL#EQxn^m-$jD%@L-fa6)H}Ik!b9aI!Wj@i;ZpFNh4^~LxGVRKZf%qd3 z_>X1Uj8yvkaabPiBlaDI*zlQ|vvTtuGE^6qvr*4pxpD<>_b_2bh>4j|{LYo^>}W8vb&X=XrzmJ zvMP^Hcz@KOnlwIdC#mYKihwnwa@2nK(9Y*zt9L6rLV;A&<;}oEgs7vf(o({`_=F^Z z_r9zQ*T3`JX$_7Htf{5Grd2$*Gnm8e+eP_pl0+=E-nx5FRbf(2Mh06d`j(8ljSV5K zDBgsQ&aa;C*J){K1Bj|jTHFVT*|s&QHT$}%>cP3cxUsN^61*wbfD(b^-3B1z9N%_M z_Ckv5W|Gn9)0v?J-=w|>w>7{(+wE_9FX zt=N=2RTS~s5-lkYH_EJlv zXgz8h{{p%}nAlKveUiT@v>-wu=#&KIO8DSG*;s`Q?$Gcsd1HSiC$6{1RE;am^Zp0B z`+F-mL2q({R9y^JXOC(4GfHz+<}c~;eCfWvq+{3+yquK{_19EW3#zKB8j@qWeuqsM z2NM(1$kOs2A1p2tQ%aUFv@(IbmXi8&QVRRWTeR;I(`J^!XX>FeZdFygTkrtRkdV9A ztn48W8XojofVIgsf~qPp(0V(Ff0_ZDws}NT6X;@SLKZd-4i0Z!m!Fi15v07lA|^Qz z2U2q#N}hQ0rYg&F+LQVeEIDu7uJ`;J8(67mi8E9rdW&P0;SoT}pr$J)a+popa`Q8Swz zM@=)^P&kXknD`_ovT9@MCS#X&&L4Ia2M$A)e>{o6s@yQ0yp9lQ-!bC{Ri>Lqg zt+BOr_`Gx|g8&!(H&4$Zq`viJz3P%jUKX5jdxS&VWi#Z_BTS>2A{z8I$Quh71kTP` z%XV@i#3uLi)ZBd;Ie#54Ip|MJ=y3CL`vVO;^YS!4O(|KlenyCeVH_GYSisfb4^qzN zAap}f!Xe;MCOc_{Mh+G%AMDMo!;fcQT-oux>?=y40ww2D%@nTDUE3R4yynRaGNukw z@>qTvaseBh0qgbz3bg(;>kXEtE2oDrzowRHTJ~9A0=n%6P+P>FXw&UD0}@Z<8Uvg%<7%bQNyT&Iu=Lq3kKW5qPc~sUE4YP*7v#-hf>N{tiqFW zSgv2+VF0$MVS3t;S(y6r{OEO5lv06ng>NBQ5xZyS$hCzjy0D*oZ=xDPmb_VW^Ya7k zqPJsFKYtjsT@I-~OXI$>v0)9wYQDD*b9a5#aD!8@N_u%o&e_#*Wy;gi+RFG>)9v=J zn*#O>ECO;Hw`1WIb_*ns47sSL>24x>F`M+Gb`WuBmFPsw9ccKm3iI{m{u#OtFJ4fJSu;h}x;z3E?7FzinW*wO?IXB( zJSb}?$9R9SzwjJGyXC6rA9eDS;x4&TWh%T5A`_0JrsG1d>4Y%6bw$2p=VavO;@#D+ zW4Omn`eJ;%CeDKbBLKobqDgs+3`<{s`lesbw7W08a@_IyZ0p*_h9nr9*iH=%2HWvQ z+MM1rX-^sE5Mt^#W+kw@;{qH$o)1EZs3Q00=by-bi>4E+U4)xCJPqk70Wf4F-EHAV z`ygrJ)oWyw+B=jgM+l2&>EW=b^roT{krPB5-|q2YjE~>31I%=ETP$|5to=q>vq)!> z$$V$T1G53FXSXDj%0J!-!dZ|yk(p9f2m(fFM!%78w76}4E{5?}Py1`IPP87?gWtY8 zT0Q~~b5G<-5NTW{CttH4JZa2i-lX(g#`m3Syuq4xY;0~Fa;;f{3ZJ>HC<$HqxH$l({l-p((U_4LH99jbe8WBW3=qobpZCGsm|sFATeKObM{ zo9CN)C+y3!BP;jjm6oi7Z=BSrVaU-^78S{?QBLw#KeF!_L62Y%wBcJ zs!#1ZXbQ47p3Y2d<2l+#e>VBNw`+V?Dg;+rL6>IKVd~vQi6K4Ll!AOS{KUiK=t$CK zi|>8%`wl)V{K<@k(|$dDz+TR&K4wC%=3p5a7#N&Ko?wwrf}PA^jo}?nKZ-iv4Dj^@ z;a{-I?ciJ;EXdm8dKe&V?uPo?D|%aTMk!u}kzF}9uwR>~vmAk^U{{qmcRlxG^@Sf=$?%7xb;KZt=^Q@%oF&g=0}hEMhB%| zs*_2P&<9vJkVFEVG%2IZ=}D7Oe@2Ldi(R=P0&m#2u{JN>RclgqIyW}I$$wcxt9DoE z9iavaPO=%3k`$UMVgD#07eC!+j~w7%HrM`#JZ$(o4VAZUuB?om4Jy^6=Ba~`dm>-I z@|Ia`@;{a_Y=KFj$U;JJPI}X3zxZmUE&H9f;M~_Or&LI)522gR;z|>j@V*%=WgK+p z6U{8e52N8a_P*{e!p#wfAAw1RKMO5#=2N&NLZ7I=)hLkoo^2mmq!ktqoRVY;Ah_-2qYOw4uC-s%1TkQEl$>;Of+Qy4B z-DfGHSEGoJc%s8Lc8;HX1G!~AD3OQL!f$@^sc4mmX}QfWWSKie`X+mRdQ$uw#COy~ z^t1uIT%(L6B(_Ji2jbA?e{~@vCs#-#%`4g38`M9XJ4oKAx|%QciVDihueYY$Rok#-|R4Xj} zi|d}`%OQ=AqEMV0t#1LPElGnm(eOvX4z3?{eoB6jTcfkcVlgxiUN0 zyT=PwvjF^1L+gioqctj_-qgk)Cb7-LByJzNK}p67VNpsADJ#1c8yoka61!!jl(wUX zJJ{wBVqMx8xN$WstO%*(y?v4VLHDx`Qy`cpoMI{SovkB* z?M$83V{Sjz6p|xn#>|FPc5b^m)li9~EIo-qH*_$6rF{z^}4 zfOKd~T1)`lv|XvY-#y={-G-$Gug+QRK+I5L_OBO76z!<5D$XEGiML%{?MV)UDW^A2 zeR$YkqzkW`Nv9IP$q0>R`)ZFkS(m6QgRb^MAby7Q9=y(sB@CPI^|UzFX3NvEkrt0Xm$TE zdC;Se7i6QHgyWvXD|3&GL6iXl^Wu``V`QG6YWC-PDKF_48rTq3<#mta%?OH>-j=Sm z9eP4BA1tu)37&r)M6m!jiF&zIKk|6|IASL@CZ`bbqq?}Ry`7tfC$_#q@g{)blr&W6 zIDvm;gpx{>Q0Ut?peXMOWVP5dxuG7XR?wEmzz3S3ZFv5z*M>#M2q<4{2#p+x-09X~7of z>IN5^n}7fSq0Sfub$G=p*PO9=_P~UhGd$~?;0OyT-m7J6-VkECp)Hw-Yt1dUZ$|8` zE|vqFhr_O*K)FWqYuNV*@}j?QNTtI`$EkiO_9!D$t!{d4eTxvZ0zj~)<{sj0`(gmF zO5*F`Z`vM3GN)hQLgLz57Q^!kHlIC|O~`$kH*4jPIve|$;iClfmQ(0~UtbdIL4dl4bTq`hIb9$1uHdN0-oh9%tei)b67BEQzh18Mc_detbg0d~?e) zVApF7T@o%}+v3VI%MwDcU}C+v-X?mLO;?Jd&f zx32Nh%%a+hmKF}5AB0Jm_Zq$#wvmugcX^iC$;wqCX>6R)W!hoNf()^XrrSL@nf-+; zs~-u0faxuxp*Qnr`p7s4*BJ5Rs-WwUUS?;Wx2opZWAF7vH?x8As!zos;%N;){W!J8 zIXLdC_Q57l4ZjvFXiDr|usZ2n4}V_|tG^cT&tsmK&}vE}!yP8*~7C0D4OR%rhgq2u(Zd zasOy%#kBg5pKtJLY7c^yQNCl|x5@UdUfo?AE|BT8E-pm0Ru`WHKlZ4ttFEz?)vuM* z)uq7*Af4{&TFMtN>t?LGlH1u}8q4Aq-kP6RYb`%M;rwwj0`PHwZ&hu<7ob+ivNgJf4d3cYyGTv*`KWI`MP^ z+EsLCN4(be)@FFr+t;Oqgy&MI<20(Wnxlm|xX2zJKH+07EiDkC{doVJF{|ah+GdtzKt&|vs)R?=t0@Nrvbsy55$;##s_n>WVWe&&|>%E<9LydoU5yAA?nEH z$B)*9wXz%9-_E2@4t8eeQoWRKs;R0DZ0)rm!Mv8~#1PIUA;1^yaR_Aq)9>8bv(M~& zz}*+x*eE{mknTyt$`Zg^k#8eE6%-8S3vR5fb!Z%Y{%m6DK5*^Yn>Q25h0u!|({+=Q z_lvEZg1htc*$8RGl&mLKc3{%dDREL0JVK3)3?6xB-qre6%%)B>Ww|G`m*$rOq2~RXMH0h ztq?yyK<7z*3a+!+Ow{Ns3<@K4I)n!u!k>EBgYXg>RMdTPf_mZLj;gj22`O)ry7(uuuulF+c$dH(zw z7#Or@Iik9>RV}tJj`sIL4G3g|ulVno$^t}0<9)n?t0FQG2}bifmw|OZ!g_YJAY`nj zbv9{cVtJJLdRRQQpINakRA)k`Ph(;5Z07RJ7{qs_u3(lD5B3HyM|r5twKYiv1%emj zLW-P=6NiE?%~p*LeqAF%QUD(Z0zw7Fy7c6cr7}(kAsuCKT#k~0qKG$ADpOjvbK}Mk zxgX0Fr6^*j1Tl7&lpPl)25ZLhqdf@djgV;=GDN`8o7}ME{0j5iNc+63tk)41N>8C@ zqK##${m^z)kq<@8YVQ*cn~onA+|Fm}dZNy%8-ZZwN-~Jtgo-Xsq?&nkwNNy{d$ZON?g=?l$bB(L&EFM=UU*Ow*v#JFU`&7 z0rf*hp48M_4E@-bmz#^momeMlPebmzI=T2~y~h5|$gpe>Rajod)Tk^R%&FAl1UoOR zufH{)#PBX570lGV0b>18-2LWJRTZ%F_q7^Wo$1Wpv7k9&fhs`L6_)3jd`!pT;NUoL zacuti<_W->8DLr*KxFyh!`HhJAdI*9M2U}^ThiRku4_rm_&)mx;zwE85rYB< zYr*?Hx=nhULk!c8Rw6(=%^-GuX8ClwN2&kfc`Mo>Gbx{2zOn^<8zwLRM)`?9u$ISa zYB8?_sD-IMHb3CG*U}dOcRx zPm!(>jW;9c`R$r3$2Na;^vE7mYNH9}moG(|`kI4u8lrywWEOPV5(He3ih)6ZP1$Hh zPQm2bTXs$*rS6%guc)~@kwjGEYnv}ux;#M2D*85H4`Y(jqk+i0S}HO1aNBs?y>-6V;J~P6i|v=f-aO6J?U*A2xL`nrLFUo9s8*ObpOYZzJ&B`G6s)d zq#w*ma&wz9mBDXUhAV@ntp#{$+^hdF6^Nycjp?gChz|%!0Vu_8@!HwHWZCzBqh5iUT=T$cKi7oC#o1`!i+d0oiJ@ zXy4Q|gat6h6D54AHJVR4dT%4HUAsq)_o*lgTY-Q;^r2hil<8u1C6M_3;jx-Wa@KSH zl3OCA;lR*3iIv?gD9p23$8W0!(#Q%v`#$LM_|~ZwG%=6G6>JzRc`rC1K>XI$RzJ+a z@Ee+yz86$D#mmcU%dd&{(`5-PU}8>@eDUHdT89x&$&@|{6A`((0x=JWc@9tA0z{4! z23I|fWa z=B`<)Yicxu^$?6fp^pIZL&w?E^Q8AzQzJl8c4n{NU1QXlHt6W+OnGa1SsNFM7C(DV zlj70FwC`YoNPOlpD-D^DgM1?FH#9snx25iVD|78#A7aH=Au8!*)v&D7uYvCvpl)=K zs#PqTxw)w4$D?OuvtO0Dold2&QTU(9JqJYUV)?VQT|)Gv3GjR-GgIT*yBB(EYnF>C zI|8>wL};?J?|RrD-3RkQ?UnW93bQ|I!R*;c$8knhUKpUMMSXR?Xxb!^Kuo1&(FO;& zQ9SX>^Z89KakZ!VU)`qfb96n|&EJvR+~5RRDwcf5{wA^?NSFFdpiBvjCS9>dLcd}wXwU=Q&lDZQ|{DpkU? zC6RvK$ReV5)c5{)Qut3kFq6Qnad$LLtZduR&}9FJ_Qdm;4lM8pJe>6*!o`+j+uc8G z4&jhs08_Cb^bS^@E| zPU85vbxLrJ#|aCN5uKGjFV16Ik0cQ9D$&}|e1kDCbbcBvcC`Boko@< ztElL-^j*IeQ%+7!VQRScNL0YaJdVpmO=h_DwCbwp)wGh6GNA~|=SLb(p5kIxKd3k>z1QJUTU@aTc*lL~X zeTC7rD0<;fyEmF1|3H>}zBF_VNdy>3%36mS@cf>8Nx7+=?Dza*o>LfHFf$B;sd_!j zy*SvH3BdA`uC0z5VNq)w00Ip?A8xTyQRu zFlU7mn48LapA9@f7>M_C_1`SY!QKH#%!Brax;GhU|r#&7`hCB(;=b!-Id9q6wS+ j&!ULGm)@xVKw$>U2ga{je%nH?gO-<3dR#1J6!`xEBm;(j literal 0 HcmV?d00001 From af366dffd979375cc8a4e312b4182348812a9bc8 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sat, 30 Jan 2021 13:51:43 +0100 Subject: [PATCH 07/20] Add callback for getting info --- lib/obus_module.cpp | 19 ++++++++++++------- lib/obus_module.h | 4 ++-- .../testmodule_buttons/testmodule_buttons.ino | 6 +++++- .../testmodule_date/testmodule_date.ino | 6 +++++- .../testmodule_needy_buttons.ino | 6 +++++- src/template_module/main.ino | 8 ++++++-- 6 files changed, 35 insertions(+), 14 deletions(-) diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index 45c3259..952a27f 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -1,13 +1,14 @@ #include "obus_can.h" #include "obus_module.h" -#define RED_LED A4 -#define GREEN_LED A5 +#define RED_LED 4 +#define GREEN_LED 7 #define BLINK_DELAY_SLOW 1000 #define BLINK_DELAY_NORMAL 500 #define BLINK_DELAY_FAST 300 +// Not used normally #define MCP_INT 2 #define COLOR_OFF ((struct color) {false, false}) @@ -98,11 +99,11 @@ void setup(uint8_t type, uint8_t id) { _resetState(); } -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)()) { +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])) { // TODO this can be more efficient by only enabling error interrupts and // reacting to the interrupt instead of checking if the flag is set in a loop - // We will need to fork our CAN library for this, because the needed functions - // are private + // We will need to fork our CAN library for this, because the needed functions are private. + // Also, we can't do this by default, because the INT pin is normally not connected to the board if (obus_can::is_error_condition()) { bool blink = false; while (true) { @@ -141,6 +142,10 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void default: break; } + } else if (message->from.type == OBUS_TYPE_INFO) { + uint8_t infobuffer[7] = {0}; + memcpy(infobuffer, message->infomessage.data, message->infomessage.len); + callback_info(message->from.id, infobuffer); } } @@ -149,9 +154,9 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void return interesting_message; } -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)()) { +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])) { // For now this is the same function - return loopPuzzle(message, callback_game_start, callback_game_stop); + return loopPuzzle(message, callback_game_start, callback_game_stop, callback_info); } bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)) { diff --git a/lib/obus_module.h b/lib/obus_module.h index 8a28c90..914e778 100644 --- a/lib/obus_module.h +++ b/lib/obus_module.h @@ -12,9 +12,9 @@ namespace obus_module { void setup(uint8_t type, uint8_t id); -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)()); +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])); -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)()); +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])); bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)); diff --git a/src/modules/testmodule_buttons/testmodule_buttons.ino b/src/modules/testmodule_buttons/testmodule_buttons.ino index 312e1cc..3c5f969 100644 --- a/src/modules/testmodule_buttons/testmodule_buttons.ino +++ b/src/modules/testmodule_buttons/testmodule_buttons.ino @@ -17,7 +17,7 @@ void setup() { obus_can::message message; void loop() { - bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); + bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop, callback_info); // TODO handle update frames (not needed for this module, but could be useful as example code) red_button.loop(); @@ -41,3 +41,7 @@ void callback_game_start() { void callback_game_stop() { // Intentionally empty } + +void callback_info(uint8_t info_id, uint8_t [7]) { + // Intentionally empty +} diff --git a/src/modules/testmodule_date/testmodule_date.ino b/src/modules/testmodule_date/testmodule_date.ino index 3509a11..c94665b 100644 --- a/src/modules/testmodule_date/testmodule_date.ino +++ b/src/modules/testmodule_date/testmodule_date.ino @@ -47,7 +47,7 @@ void setup() { obus_can::message message; void loop() { - bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); + bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop, callback_info); // TODO handle update frames (not needed for this module, but could be useful as example code) solve_button.loop(); if (solve_button.getCount() > 0) { @@ -128,3 +128,7 @@ void callback_game_start() { void callback_game_stop() { // Intentionally empty } + +void callback_info(uint8_t info_id, uint8_t [7]) { + // Intentionally empty +} diff --git a/src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino b/src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino index cb1002d..d333ebe 100644 --- a/src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino +++ b/src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino @@ -20,7 +20,7 @@ uint32_t next_activation_time = 0; uint32_t trigger_time = 0; void loop() { - bool is_message_valid = obus_module::loopNeedy(&message, callback_game_start, callback_game_stop); + bool is_message_valid = obus_module::loopNeedy(&message, callback_game_start, callback_game_stop, callback_info); green_button.loop(); // Every second, have a 1/20 chance to trigger the countdown @@ -65,3 +65,7 @@ void callback_game_start() { void callback_game_stop() { } + +void callback_info(uint8_t info_id, uint8_t [7]) { + // Intentionally empty +} diff --git a/src/template_module/main.ino b/src/template_module/main.ino index 83601f4..6551a68 100644 --- a/src/template_module/main.ino +++ b/src/template_module/main.ino @@ -16,8 +16,8 @@ void setup() { obus_can::message message; void loop() { - bool is_message_valid = obus_module::loop_puzzle(&message, callback_game_start, callback_game_stop); - // bool bool is_message_valid = obus_module::loop_needy(&message); + bool is_message_valid = obus_module::loop_puzzle(&message, callback_game_start, callback_game_stop, callback_info); + // bool is_message_valid = obus_module::loop_needy(&message, callback_game_start, callback_game_stop, callback_info); } void callback_game_start() { @@ -27,3 +27,7 @@ void callback_game_start() { void callback_game_stop() { } + +void callback_info(uint8_t info_id, uint8_t [7]) { + +} From c51513ebfaeba016f76ff7ed90af208b1b3f03ea Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sat, 30 Jan 2021 13:58:45 +0100 Subject: [PATCH 08/20] Fix whitespace --- docs/protocol.txt | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/docs/protocol.txt b/docs/protocol.txt index b1b5185..0ef8eef 100644 --- a/docs/protocol.txt +++ b/docs/protocol.txt @@ -40,8 +40,8 @@ Types for controller: [ X B B B B B B B ] - - ---------- | ↓ reserved - ↓ module ID - type + ↓ module ID + type - 1 hello [ X B B B B B B B ] @@ -66,10 +66,10 @@ Types for controller: end time ↓ ↓ reserved #strikes #max strikes - - 7 info start + - 7 info start [ X B B B B B B B ] -------------- - reserved + reserved - 8-255 reserved From 2b44309ed8ac99d1fdfdfa0af607c443cf8f8d5a Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sat, 30 Jan 2021 14:04:40 +0100 Subject: [PATCH 09/20] Put comments in correct order --- lib/obus_can.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/lib/obus_can.h b/lib/obus_can.h index 149ad78..bd36dfa 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -204,7 +204,7 @@ inline void send_c_timeout( } /** - * Send a controller "strikeout" OBUS message + * Send a controller "info start" OBUS message */ inline void send_c_infostart(struct module from) { assert(from.type == OBUS_TYPE_CONTROLLER); @@ -213,7 +213,7 @@ inline void send_c_infostart(struct module from) { } /** - * Send a controller "info start" OBUS message + * Send a controller "strikeout" OBUS message */ inline void send_c_strikeout( struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { From 62282f2131183cf7e8d61fa42f494c90efca3d54 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sun, 31 Jan 2021 21:37:46 +0100 Subject: [PATCH 10/20] Change RGB LED pins in docs --- docs/hardware/basic_module.fzz | Bin 32642 -> 32923 bytes docs/hardware/basic_module.png | Bin 308546 -> 299897 bytes 2 files changed, 0 insertions(+), 0 deletions(-) diff --git a/docs/hardware/basic_module.fzz b/docs/hardware/basic_module.fzz index 87fd770884b7965e6e547680ce7777f686e60e87..ec5a625590c5b5a7d91737d4d752f304a1673f1e 100644 GIT binary patch delta 8425 zcmY*bYVySn>Sz!PG+KN6rUhm3-cfPjF8kXb6Civ3etK8*?iL7N8|0sC2M zY~*ZZVqoWB>S}AoV(xXUe;mKrpY(A0gW8eLn7505@H8>si~Qu~Vm?b>M(H%_ScHPB zuozmDgY@&H-1lR9K(;OCTSO%K+z%@ItMoG>O@8?Fq0Iih@E3Bi(T7$au-fQ90gX2T-6MX8PHNVgF{2fV!bj_00#axBKQ%dWt zLVH76Y0*H5@8f2-OYQ!`8~_enO*iGg;qVesI0_y84)3k9GdIY4Sp6|@b=Y0fMkCHx z*ysMR8fxlvXLMD}8vX`esf2lXf*JYHe9QM)nBeY^^4dKA)7|VT(ZIfd-F=Nu^%_`& z^aylT6${W_~_XC za^9!MJ@RG+W-9Pxs&sG-J$dj=Ws2W{^|jO2=w9pRxrSah*O3FVC(u?RU=c%S&@B&MLQv>71)9(p%ff zwp?sgcNz{ye|_!%BaX*8CT*6L#^u(vYv956&UZ;nm6%8qHlacO9=6BReXHQ)Y-_qy zH1H>M!S!MxTCPm3-Ce|q;$da{@!~Qx_#tTH!LmvRtM5|EID2?)JG1wG<#6hLWxDk` ze%ZV6)S)@vZO@mzU5T)y?_Oq*RL53k3iU3Q02r=@A6x-7R`w_=y%JQPgqr7h_T;2` zMnR6gUJziXe5J$)etiN1Ywmpi?8Y+i?Uwtv!fl?z&L@5NG=65Y>bmz~kt0HD`P4@- zbbf0UZ7qXy`5`Qx@a9G3<5{g$0;TdCvf>nFe<_Aq(lyOfSbVY@%j0_h@V$qfdUvc= za4f$c+5m1p@Oc@vtmX)KTu!BjJ^f9SwpI4DO1Uaz_ts(w8N#Lm^Orh^rHi}_wlTXa zsj42LBQsf2%rKp|%U*e$v7|0&M=FeRWSLqENRAHtBMElT)TT^f{c`I%|Fhv{XvO06 zJ?GVrN6sej{PC^P*V)vx#}nR_t*qRFitx`=E%TosUk#LG( zZ~^(Lsy(Hhv|M~Oe{EX$PKO+RQOisqU53qCO4sH+2DuF?BYQ}G-A!OAh|RZzwqK!H zV{$irP2tzc+ps)Tna-`%5H*?&t3&V0Z(;0>;-s8qOBo%`CH%an)NDf{rYjIhp$vv9DTjox%8P$q@Z9R#M(1$a}L zl7l=N+HGH))S~ZB(ntT`3YSjF#}sT38?Mnr8apD`39|~M)$t8(6i?pzH4eeWwg5t8 zFgW~wpTP_nIp(`qi*UM!CpzSPCIj#<3eb0HvHX98Nf?EY#1KxW1Y!!ZXXZV1L|%$j zB7%>DBwt^Ni2=h15+n4R^U=BC$82Obj*9{3P4!;${YmSpQne*&ayU*Ucsu@l^F7(?hj#0Q7~*O z8PTVOx3l21zqOS`0UFV*c>@t)QEIRa6!myE)U#EqjDRYq^Qa7)s`k0XpyC2@TCdgvdq`7K z8|~SVsH0k4*N}s%8fL+MZ16dEY@Ghpv=hXxd4oP7S!xr-)w#uEM5G1X3L()0#o>2M zb<$uzXjMB4*iRHbYmBY8*`*mMWGQ-QU4e>a;V^R5K?CtDE|}H99*2w@7zl|{n?&zh zD_kKMMK$LAoK?ZbfdXxd2V%{ao;F3OmP{rrABtTk1E2l#c*TlJM}f*hDe0rwS^LVk z#{*F~c8wQxt_A<~CKDCS#KGs1+UTgnijq3Y$8|XH-8EaX;q>heBI(d-+Rk&xx<3R( ziNQ7rsF1`~?t#FUwGD)xep<*iK8j@`&o-^xeSy-%DS$JmZq{Rue7Mj1+-a#fKKc6= z_P~lIHwmh)Aa%V*y1_PcqTIBuiJ*rv*am`%ZS62}&Z8_Lx?lhnRA#%gjzx{(X{qZE zMCIOfb*p>lS^2YDGhNUFdC?$WP>XI+vqVsf!Nh#8>4rv`;m#%*72Vtc^KyL!g}nl~ zq;43Q`}zBGj|B4OwAPITqSiG)Ju81Bb4tvWi6I16H|%=mD8pxkvGE5VN%#E&7IZO$ z{-NZdVwevfJm`=KDYJoX3Q*C_hTA^YX?Q&=&&gxs53epBAj(_*wf^}Wt^xBE0_}0x zFG|r;cBLQFi--PAZ*Of%pW_%l*DtH11Q-(8HECwjVE*C@nqVQ1Yf%SGxG2P8I9Rrh z5M24}-V~c~I83FyZXqz3W4E{U`IlE3LiHkaZR-AYW&|#pdsMbU$&~2By^I`OUGW`! zMo+yP57C(tQr=q7U3!ifY}4`_qeI#CdR$GzF8C}5KI@B(?{3I*@QWK*e>Q3$^ggph zcFroF4LWT>zD>bgi(Xi@4=mY`xzpPyE!i-w*MpdE6`U>KGF}H$6%Am|ImD-HK8P@t zQ@yM4JO!j{_@62UJUpM)%wm^gG^oB^g<=o&I^G!7%7*Yp4jc}dx;5XJIEv_$euvA= z6UnV6J}0I%GIY(70l+%V`$`i`*-C1T?M8OwQ#NwBG@*VXIN#$C8`n=MSVvZ%iqkvU z`5xb>*nId~8Dajyg?Za=*osOT*21U3Bi-Lmp74}~J=Bv|(2MsMM8AFfRliKiCNB{M z4?OLjP!um?g~EI_TfXr+Vv)^gxp2L>z!~TK)?&k&xFS|8F9cw?DkSPM51g+bX=ob; zr*8UdZw9A+cg1HIK8T`K%}DJCtdV|Q(}i5qgTX0-;;f?$xme$Wb5;g;gm=$lE^4D37eET;CZD5l9n6| zo01_{xn(Gm1wX>exI!+}a5%eowfb+ExeZJY=iA94QyBBc>XR zX?;Fk8zti2_3b^iHfq27c;^pyrkcZksS#2dKUPw)H`Vxn-X1-fzt)^OrXixxHt(M7 zs=hOq0PM6rzyU={@Y9#09~yH3r+-@WR9417^ZOoR9uL?~f4hUM&sv3ff4vOFpXvwj0ftQ=-CY=2Ax0vqX7(0)pblV(;iQn=(nsW<+YyzBg;iS ztn4aCxcq51W#jTbiyMvpF-l#%D99q?>G#EV?uO3Ce6gP=zEgqz!%aYXBW0>WKy^y! zh>RIq6-3}WZU3y2&}H|}b=|Xy$J-^gg=~`n$X4m>Xk?Y#x5X-I10beM&I{=6J5IB2 zcCt$&)b67 znc#33UTdjs1w#rO9g9Md2kUS<1(2E_+UVxcex3aXw7om@uu41_G1Yz>x2wJct~lAK^z@x0e>iKfSJTKQZ0GEK z1#$g`P=SV**A(A&LL_9X1~M~R@T-hyujM*x@a(A${leW3T4*Dw6opp%KhwoW#z8MQ zz8`w1?b}{6sIHKbj;Fk+QHdRyp=AFT<@#C{7)oydaskIq$5o|YO-D$JhAuhcK12oZPEgL)xS>edY7SlC4H;5E_(r^ zH(c7kg|EO={|-6Bz#}tIgMIy|y?}a@0ln$$SP+5va=@5RWlWZqMy%dNcmeJS2c5qb z1n<*X%(ti5bWJZWq;ZpEHr1?Y@sG4UrSV7L!VNl-YR%_%idpX(Fv~aZZcOXFkR%G; zw<@*C$4e!APj8@7?#kE7x|Jvok!j!kJlM2ZLGvAf;O^cy30-fYL>km0fqm6)LcZM( z^Db7lpdU5qa>j~b%$*_kWdhi{qgwe*Ye3Uz*NO|K8O*6>2nt9|`uq6?XZV*AHvwcI|dX zerJ4bY1^*Wb5UV=pu|U&tuVTX|9b-J5y1I5@=reuk3kk;1HiH=5`RawB?M1itd2Q+%PH?_T_; z%g|mvZ7d9uY$f>iVKC-NStGyQhqugpwvHd=1Gl^*64|Y&s=TA8g_7B?OyFx4GDAUf zc(3;UoX#(O{&Kz2mL3h*ClkI>`D{`?(~y0vZ+LL=S?<~>h~2`4XVigVi=9C257;?5 zKu4&kA>f)0?T}Y z=Qv{>Bw!!kh-oAYSI*h_FVbi&6Ty=l$4MH?g_DEv(O*8tpX7c29($--nDmuO{m6wB z1Ld?6`#v+9>>(XMZ3#s=1Vn>KL&gX9#fil)8C4a+XY;tsonWsaOVf1kqr-Zb`b$MA zLVe((<{NLLIS0zHe$n-p(S`0U`=eMV9!>b~JL!7V;_K5Ms=A~`p%*Sjlt&ztCFAHD z8JFZ8Y`i78iM16+x>}0` zaswo_@W~oP?oDpPoM?2JA~1s^Tt^}lur+(FV=w1>g~2L6waBSr>yuxo6v-;I@q;9T z2I9Bk@uXg`>TimDmi!fU=|m^-d02rQodDUD{Lez5c&~KN#>h8WB_`EviVJCmuYyh< zisWax_L3CIH4jpmw@!DQb?OFra#u-7Hk`o0A$E;awYbJ$g}=Jqq-4mB+HWh;W&Y98 z;5XZgBgWZ6a|R&ow+q1stgS}alokVIaqk?oNWhj2i*&m%wn65~xiNPo%!`u8vkd+m zoD-Wurc4Ab{9bO*=_dpgle6Jio(Xoz?euiMigaG3*6W{C zhgVfYjGMlhjV1b^lDKHDLmpVb%+2z>hW;HS7V-MkoRet9&jXj&rbDI)*8yc>M>08G z(BWf~M{?fBn9LMG+NRQ;$;ExwU4W6NhuBkGQ}SJ(NkajzYE9BHdX--6gDO5-E6wCly0lSroXnqoUD>)@~tI;;%MvxE58nurvvF^Q=Vri z2Csihr3mBDco&p}D+i~RU*Yp>($Csbh>?iNedS36(H?~G2MrpRsRbCf)mQA6Yk}9E?+6=OTD^`&q}>Nf9_u~ZuR&ZsT3{{PTYGC^{_ln3T`pXyXnbtNk)VCD*`yKQ z`hCbJx|LF#fDckAj@drXdDQD;7=E0jIf7tLkW7`}RcOYD=WQJ-a9y;ADM%(M6}RaT zN_i4T6iDvLnn-ThwNX?#hHo|jWu3ZFcD~7TOFhZ=WSVtL%}_SUc$$`5GIO%-m9vi= zalK_8va4dOYpAVlI(4$GDtS4wi}}eJ9mR8p@NQWU6N$hi7d;&!@ESK! z7%-Dc`B5PvXn~C{n!g==DL-4o(nvQvOT{nv9-Ce{zaZw)rm{95xA0|t)6_BBpCYxz zpWcB?yFC6eaunK($w5watJvM#`G11yMRT>9@~pV4$iJVsYj)`#RS^l;UWy(eGN&@6 z?}ms50v0NUQ5xqVwoo#TH)CV#C@(aCT0=;U<{9A~%>UN9hZrXGm1ev*Rgwr6&l+$j z;8aT;h4sNbQV1^Y%uNe99;QH9+!wXYH0+8zWU**L&!XgFbtG5LT)Iz;%~|hp%(Td& zCA&adF%I@+Ln*e=uw6`i!H8`Pt7JX)-xae3jw6_7vUs!FZ4_~IuVgT0(TriQqd@+~ zFcc8<@`g67j7|ol>scsY06I4w$}l$nc%o{1er!%8IL6m|!$SC$d|wZkez&ommN^OL zwslvTsAWVIfE7{K!S5z1EeQ)|%ctWBN;e1_x$`h_>pf6qNyV%({n=QQt$tx0&;n@x z9JHZ{!{jq?gJxfu*U2Zp4Z2x0D5C4KtcPo&i+eI6H+flqf$%(xd_PG{-*aB~pCpa> z<+y4sW_8SMCmR5DX}T7#>honRV_+!LFMNw$D@HaE!bf0(lB*8Xu18VVj&=|cG1R)a z+v2*+#^t}zN6B=3H~8BlbH}X)a44&hOvck$h{t%B$xk-cr=cA`fb+q%Evc9mG@PRN zlG*JmrZ36AoRIz|hb&UeA# z;+(y4qU0B8BP$I1=WX;OX20k@Qg6r6 z^Mfp<3v~Owy^&~4BGN0n(FyXc|Vh3g4AAqgxsjoE#?d1i=4!CHv=nF@(9C|;Xsmz_a#zdUEvODTgzHJK3Gx zWpcfZ5F^(%DSZ zMZTjNCC@FL$=@w?xcaKn{Upze$lmL}%Dg5J3hviV`Yf8Bg`D4D znUyKDOsf12XtYru*1Ys_4kE~={)WF2`@Iw<^s~H!yjdTv<#Bug-C?Y7nT<^Qw-G6) z3tfBOh#Z&?g`4ua`!$IK3SCGzt zKgE`dOb^pn^78+tHY-VKvU^#w!V9Ycm{QE-%Sd&MMYQ6QM@unMqjK2mAdu(VkSKRq zA~8D(ew{O^lq~@~3q22iBc6Sop;DIC4omM2|8z)_T+!`ZNLbaoreGT5Sgn7P8c44) z*mGv-Ww|S?!YcZ!p0Yg1`%;k83dR3t0A`Ko*@ulzA4(FV)?(9#q`+L$r zLj?V~()n5vW{Y&u7b^{G$viXU=Rh{KhI1{U?|Xgf$+w|F{U`ks0pj9@?w$CmcngJ? zioa>=$ac|43U2dXfPzpagX5*Gunu~Ia_~EX)@weg;RoomI1ZKF{3?pJnv+hGF8-Yu zOVsl}W8dr}A&f(st94!ggx3-ZImwgyxBvAOU{?E${Bz*Q&_>WI}=H);M wijE>o%5sQE2nhebSOJSm1NRjg0z!%a0>bD20s4P{rLGAyfbL6j-+$8o1FFJ`^8f$< delta 8190 zcmZX3cQ{;8_b$WyItR$S-SyERW(IHr;h#ORTuQZLH&{H@$o4!PLp`kb@x^a z;I?Hg`Q=k_@(@33-Ms44?wu(IcaK@*a_-NA*+a&kx5K@Q=ew3!-c|O6y_8oIBSZ9@ zs!?o3^VEb$Wp`QFPSC}d_<4RA4V>C?zrU79#iz34SBB|}xiXp$lD-=DN8^92z%c3( zAmDB@@_y!fOLnh=pq4;O?uEN8@sqqE9NE*HtzB5& zdtf_5`mJ8HyXD=MDE;CBKYvS%!;a{cetW5T`|I|Pp9;@)VO4)U?4LDxME(V<;}{zM z$z4oeL_~HT=Ze+tbg%CocuHlPc>@92&WniQx1JYk+v>ZPmW8T~Lhac>w}`+UAo#!= zdu?oZy<}&%R<^gXc4zU6rxbGCd&fKCuWx&--Ol~+n#IIGT>F#eievBk&F=a0chyvc z7EJ~LT7c|*^-&MYe0-$!arNhB41w`n>azb*ynwGz-5%t2 zeJh5j_A-a-gN>6L3yAR;1snyvSMDWpq1tF`8;LNJp+!dCRLHi|H*HzofJ~5M zJkq>-^L^zxpQL_Gr;4jCRJNNvTuiD!W67BHypYstu3xGQ3_RDHDlYDtR}?F6yOk3w?1mI_^Y^vO5KVsrfTmaF&n9MT^X$0k zN~vv?F#05K$jnP6mN}%YxvQ`r!Ec>f!Rj&Cc1k2``%7jp4h;0ee?us@DjTaB;E&=R zqQwi?8T5O|SEGvO0g`Oj4w7MUtNfAU1YvoC+78xp*gdOx7IoE<5&Y<7_|t-3F<-YO zKg!H4+(M6z3b3+}JlQawRcia<3tZFpPPbRkyy-Nd4oJD|nxg-gd@_7Ly(G(bp12Be zpy;NtAMy-PT6zKxAgN;_w})cYbCM`U^t;gi$C5k<=M36%khf^)@CLE+O=2 zWL}E>V@+6T`RTj-8S6N)tfl1=p@!q1rA$E11T^j>kD0!L&m46AXHG%8^;T3b zdmJOottrAcSPnErNJPUGxfhPZ`MF!93j@==n%@`8wzV3ILD&j^8jWa_m8{~9z7E3fa|uGx;PbM}^}A`Dd}X!xR#m!RJ`HgBB7C`%*fc{_iz2$6q{>&{LLlCES1@ zw`s7?CPp63QJ|l_N1E_R$}Nyr5YaQm%8TSbe{MlnlgC51$sLqQ|hi`-Mj!QX_^ z@6Z(9pP#*jXGAJPI-AEs{9Qj>d<~@>{k%mpBRLU*zYT5MS;6vbI`ph&p%EOc|Ld6t z0Zr$Fm|UPiB-4b-o%q`T#B5hCKUTu2ak>OVKA0~#)w#9_ZQEUWL0@J{FICiiQI63& z-YGd9@>1gUh$L!xQM^6gNLUJ?bMNLi+LDBv&{g=*w+8nu+`Qg1$KlD7kJ(BB=sSEt zQ=K3^DV#k^9DG|i%(kB2`=9fEl#@S_vmqZOj0B!TIIV%pxKgiLjBxj+An!aVXnG8k zBaSyc0b-c!Y@Q2QjfVyv{F?#7JCB8?)>OZ;k)AUp)T{BI!C&ucXdj%q9^{h;?OO=Z zLj@3uE3-o=imMU&jtGxZEgu1iZ`2KYkL<*PO&Xs==qM_dQTFm)txh2#AipJN@p-`c zNz*pqxtx00y#0yetQY!BLw%XA{nQW%)@pC}PdB&leh_c*r_wCWeqhijUX@kqzCi*8 zSj$MLTfpprEZiP>a{x`d{EjLQ(#O{AE|iagz^3p;#U@4`kXlvyf=hUJuT>pYi3M`_ zpr8NtCUW?ZX?p!_5WVG;D4+=kkm5Qij){JEg6z?o#%OAqO;yqJAA8?|Gc2_2eX^hT z)l|1xFVJ^A2%L^A1TLRhxSGG$xo27+iWN+{NW{M?tm|FwVzkVyhXTe&((N-?hZyz7riFCoZD32t9Z8yakQYSaoYd-O4&pFu zzFooKLXeUmXk0K}vG`MD-D|okeI^+QfbTLS(pi1JAZD2cM_Fnecfe1&c!_@~eMry3 zDtJP_8c{1Z+3ifCECdwrzwka~I7F7r&qUV1*eE8o$c?8)FfOWCf_yPqK4Y0@Nw8$` zEgAC5Piomm(eBde2&jop(DERnG83Xa*f5Lxus5f%S^PzPEz_cCXg|+;Mj6S6vTeyr zOnvd|pQ5GCR1_Tb5#8L73P#xBm`TiAp~}HxQ`h-9Ad|zY)*;BojL^ zbZB*%u$eLVq1l>!HoplD+8F5*cLU!4&E4DcYj%C=xfS?2XglMbePWP#Yj%9eAx)5a zZRI>HN9&1f{LiMs^5*wjBc?;{Pk z?oydYvXt!#lQqE5S7#)rv~bIB%h`=B5qoa4LbVoW9nT)$t)dYT=f-3_(D5Q7Eh($J z_X*Qx&G%@$A^Fhj3@%&N?mqDZJ)7T5k%^H6v}@p`1WW5!<7R6esgNSZe@F|~zo}Re z_QXcNSYj*w$?upR^CG7#*J-=^sLX2w;fEx!MF7CKj9>|Jz#JlOtP*b_fjkPxGGx z&60L-r6t2Hj@e%;mVHvC#y`-w^gfe_&8t%nj9P+NpHfQ=`dVkKMQ?yllkyAcRP3Jl ze$L2Xb3zIWEIn4k2eViYHZtjBq=5;s^xa7!Bj zd1Z-&d)s4hWMU@)yT*r*vXbAx9@IJ+b<*CZjnj&aXn>u6$39x25RHREZ#ZmUdxz6rPA?E4#S`xC7?U#RN6 z1BAOWP63&DYqlQ>9K5pR^+gU4+EvqER@N6UlT@Z(mN(acd0R->{LwgvA8nfQFb;wO&m8zgkwv1M-f z)f|jA&c}OL*K4Uhxo*t{Z-~sNf}zna(fJbZTC7hIZ=BC%3EQ}+? zF+}VfqRc?a#QJ^F@=zrDV_4LOZZf6cK_J=5n_j-B!i<`B2Dhvmp#w$YGc_gZ?yFM) z9cu%eeQO{7*r1Y;Z7!wHE&p~2(9fILMLxS6D`+)L_R85P(NVtfm9s2Z&i=et zD$}d{{0bCO~HI_g-&a#ofJG1g?S2ZMm{SitmhDXUGk0@ z|4G1ZF1M-L#!$8IDIiUw)3$s*L3YK7C!!)iIJ!vI*(#b>7<=A(@^Vp52DtOori9py zX8NEbmwXO2811?}mjo`Z-@89Q%Dr1B;@f}I@~XyW7W@0l#5R1drJ&8hHX>g^&_Vl9 z#;xGPQEiDWOUl=A0o^~d^Xh7V^Kv|nm`7yTg1Yt3NwM5TQ|RGc^5$QgFpncAXA zZsueS@8`P9SoOYeb1X(|5U^UF=?lPuvT4wKLZlkYy99_C;qKYFlga25yBE;?BQv z`b8KWm&u(|C1_TfKH9IxRZ5B(%)5rX>!TTx!N5&9dHoHktBZhC0v<}M-U4ExY!?qE z#j#4LvVlg`x*VZBW&+KBr$p;Cj2j|8mtb<~`z^JOt6rn;g9%SkCHm)$olLcF`Vhv6 zdry|fm`9y1Hv!tzmriJ{k(j%HTo_Hn_@F(m!y7yvY*j+mzF&h%$+=ukNGnV%4@lB6 zXB~^r{G`ZuIE)^5%akybI9!xaehR8vkii>i{TwNZFzrB>QoT=B)c2&rpHjh zcFg1t{Vh2KO08A#9weo-B>XEbLP{0m#k0>0+QQ$=HNkD>7W1+dHdw?q_bJuR%7O$je1@b& z;#Qio_utCvk63O+fd9;x68b)Qj&FDlHOcP~1QqmR(XBCMQg#UT-Ear`iAAiM4YFHu zTU-^{3k#|ZroX;caAYaHS|3DGk+Ni5uwDr4|LOo@eQY@SCt~iO8AcG$4&`zxYSg`{ za$yM0Rb^DbK$jYPAxzRyNTUZHNTS%Qosxp->p zxc&(L$lRdDNC7iXkaz{Z^zRRujwC#UZF8_^Mwk8$(6!tpZ(Vh3G{OTW^u#Qq-Fm)apfJ z$V|qSu3hj3>y-Sw9~A8`6PPW&9c;;lt+FLs$+XEGq*_RqG^Kn^qtt8Sra6#6Aj0w2 z7lz%lF=i}O^;h?KCM@iF8CV9MyVy+obpz$UyRT;%qK!lg?=b_;-akxow(mA}WMD{+ z#`&(L4hl>&I4PWXK_6#{uE;M&i5FbEe+qngF}A8+zcbi*YJ907ltjJ=Njn%b(-Y)a zf91=r6=m%A^2~2we@dS;d`4@Go9{*nEFSpdhhY9;ZOg^f z)DW>L%Olj}9e&Dhe5GJ$Paw#QTMxL;`je)C>7BP(UH0`P90qL719OmSO|>!$?;Cp%*C*Ybs=Ym@ zdH+4UpESqGV0q}vYn3DWm-La%Y1Zp6lY5SB$p+O7X6?J1Sm_!ZUI4zI)3!OyNU^~Rm520OIx39*v1>0JEKmIW)V=shHAs`-SH1# zF6S2?QXrLI4W4QUYJ3-QYCFNSAiQQMZA3q2dSB$^pI2~b(va6`EyPFQSI;(Xa5;Nj zMQ@uXv<^#Az@_&xWQ#}f3{R@#yr*13gm66bXixf_rp)-pl_t$laqRamjz6yf7r5U~wjZ!}Y``rU8vdxG zV24A51z!)rl(xC+O+Vw$AX%RH+Z;#kXBpElhEH@t7BGvCw$O&Etsqgao;Kfo4Q!ts zTOYI5`5SR6SzgLdJ2OWOpW`Po+E=H{AO5D_F6OtL?!MdS=+QEIceKH`iaShuVH zQZ!k+il~AC#DV?uCWeyfg&)-V&lSICalAS(Q#jqgTuC;#X3yN1N>xxT1IeURr?u|G z#K8Y42&zN`X1}1BYmGdX%E$X^vO*}mkXRz8wDkCbNSN8p^wMR;v;Jk4g`a*Yr5O_< z*M!X-CYQwoH)&rTR2LwAO7)|L>IErB%iwM}lo~kOWykYp{++!<996jwU7|*1uYq`h z_F%iEKCky*VZU^P0zQyBaW3CsGFmZziz4T6!8zA+tG;-aTBaahH2_8S)Qdd6F*XgR zEUQ>@x!s8lsGyk9z2xTNSJ}r8(r;zDra)yb>dXD^^N7r~83qlFFWu)b%7kN~qmNSp z;=sj%c^inBJ!L0rqc-d-nU)&X*c%YAFK$YGZWyI)kIGvF6ZVh?5|&9Y_U=uLWb896 zFNdVkz{$?!uw4o-BNpn9@)wEH-g|T%5NY#6@d?*9=VYnXU?gfkyZpDG{S|M=9df6N zC%4pSlvJ%Z3Qc(PGCkY*)#jVgmbaxqm<77S8_+sihMrb`_Gr3@oyHMYbFPth^3}Zh z8sCgKE_1_eUSqJqYlAos`Ml0r6)^kdafI+)w0vr`JTARq7n%yK{m3fcj2IenD(czk zNAcGgt~TjOm8AcPGghj?@cl*ixKM~$oRPJ(FHVScECoTqgRgd z^jZWYTd(Q{5yk@79s=Mc<;_;H*`rT5=YAY=&81-ZmD`DI&_uE~+N79%asPO<7B?7_ zX|@E>lL309$|`RUaHpdj_XuK7@TbxolLZQXOIFjrpwfAp9W9KKJAbFW@u=@?9WIji z!J-;d-ltI|{jf}G)an$+py@n`IF&^QPAoEVXU(X!?El~~R?L;^>pYfq^UUf(F1DUz7#2Wq5&ZH=^oGTHF9I1a|POo6ICuZG>2 z)Q_UcnVJeY zz^#hf-PL%1GB}m`^=H6_@h*3{7Nb_+wBx6VwBi`mm-LL3ZEMpBJ%d&?ygu!ET4@LD z(|FRTW3tzFq4*wZy_6G_K>5c*URZxpBXRly&x{1_@K#;}Q=*K?b#|$KiO>@rtd9;| zy)g<#7s3hVNyMFL>KEYE%lNZH)^NQkiKsMs6Z~&)mTj@(_a&`<-pFY>!AYKfLe&q^ z80e$oZwhNzcfv85&qidKUR>W(^yf{LutA*F-zA#oJxlwTb;c?9I))-XVD^^0->c&mD zv}_pld-=ibwC;d^TKDx%7D#X>F?};n`%EH4RV^L zclRu~`G^tP-I{HkbT+Pc$>Rz-ocTeoFA(Ir{rac-`Bh2s$w12p`L6cspJ%@z0u_yz ztZJK$1KX*;*6+)SKDewoml^!Q7#s3NoJd#sbuxYU1;6IECpKQx z%uNX6F=HX_HWGdpb+4nd#e{vGd4iKUt7sge8+)KS!Qj->*>=n4Ivx!26dFX64Y`3n zoxH8(@f;fwnK5j?`F~p7D-G!FPqAy~8Qrik|FynrAsj~>|CQu5N!k7@8M~Qb{#R=D zdWQ@8pDpra(I5eie?$L;LPN7)Lqiiqb=>NG_p?c}AmhPU(u5SFvupr|9U?mR+ z(LCZ}Pd4Y!0%tHu_6%CDt1szTHKM?;LicTY0NAt!1XAs!+coQ2F m4Q+}94Ndxg7(8=L9s$(<>yaUt=25a|vShF+Hb&q-t^Wm)FVv<0 diff --git a/docs/hardware/basic_module.png b/docs/hardware/basic_module.png index 06f1b28a58fbfb6f668f4f12bbb4fc60c845008d..17d666d120e267b048cd7a053ab7705c94a0f5a4 100644 GIT binary patch literal 299897 zcmZU*2RvJS_%^QXLv^5oQnM{;)vUcc?7gCPZ9-79wzfuV*KF;*f>@zMXzNj{_7y5Z;q`e!tKAfB*S>$dR1$UFZJZ_jO(OeSFZ>R-wAec$JKdj7m-Qxjq@$s?{olfS3FcryvWFSB8Wd15{yoNlabvcQ+xiz@b%2*oL`X90ikOL*UXMv zao7%5ytf$_79CyotL&PYBi}>|+Ole{!{pe-r3z97ozSBPLmQ)gj3TenDPbwu!|A%` zH@5bU**Q4u5?@_v{(TT6yL%6pwS6=nsIY48mV_gOv`0E;lmMgf_*eE|=9b+4W)$s3 zvM|;Rztih-uU93w{{g-WD~yh~1-$?FQha@Xjr@Q9APW3|8@9n9zF3)d^shw{0a|T-SY>)X+$$Ci zZ{GEMK9!PgRWUAwFf!hDZX$G78g_QINN>6yl+0cI=N-$IPILkY`^yf)S3TDDr`H!% z#Muc}Rkn!=UfSXX*=q&E1})6Ji-;XN_tc79e-^=M)H`P}yDrsW$}fHJW%w$ER&bq- zODQ~C5}cS7PnilD1Fz1HP@|}{HFN{iv#_)&(6Y)5dN>DSe5_#~ECu=I#^MN9O&$fq z>+XS!-1s7%x7)#Ai24MjY0HE(L>6)J%1LRTDk?@4j{`kbD)mV;9VxI9S9Ivk6JX61 zKh>E&YWW*m-m)j-$o-hVt;5&P(!T|J#|S+r7+e`j@bf9XZ>RH94pJB5+tHjmt%b}q%gG~;d!z}=#St?GFNVPj&Rq$^gYL4%?DhI)5J70=_$8fID0$3e z}2D4xO{aU7qUQq{GUQ@RxHB)5w@L)RMLfupuY>J!E-jB}@d z2M6J{=o_4lR#Fhc~c2rRvk1=%cYHeNw)&dvwXP=5^J?(x%>!s2GRb z6D}RL;xC_6mzSGZ{PF9?W^ft9s>18UCM0nn5q1`c3qJRT z#Iqh>e>Q3UIw{0=v6)4F6@*Kcc?^sf0-oZ(I9WUe&h!XbqBdgT5G1EoFc^U1qUtwMe8} z1!dJ^;LD!Pq5=C(#igZv>)yQ>UuaE@u33|tQE>3a&H0-k1KT7typcQOZGja-a&|S> zHu7nQNHSt9P_MWjRD(mvrvC8* zzjkgl)8<#{tik^I^2gvzP~+=XHi0?rrb(EKU`F}2rDxsNN&BSfLGBF{!}s|EL}>Rm z4Rf78mu;9)0rEA}~&e*NEe4PFs z+6iB`5XSw3Je|J^nX&vD^W`>mX8G?Oh>CmyqnNrusdnmkz)SByJG-J=w{8*dN|h;G zy}7x$Dqbyam$zrx*hH=!`@1_s&bIP&x36#6Q`!4?>UP52>L#{!t;y3r{t%Rrrtk&e zF65D~>|6xgJNvVd+xtIZ0e5!A)!zH8f3^x%G0CpHfVzEVmJQ8wMzj&@EhMhx~&0b?sQ>L z_F@YS_`L|a@19JP?v}_A$C5J)Mp!eb$9k0)R8(u+hjGMptB*_7KSb||p?ubHIr>UI z7U=^YIZ_SBBKMgs{C0X6v(oY?5_Hmo&tQ4?ON|{cd_u^D?2Ub)bg(FoXNt(CN&4s)*QmYcz-<$(PGIMZ|(zY6@CReUcj}D zsGHNPnIm>+wcTFVq1ecUW(vXw9r$u`J>LlD=|DlezGd+Mqm+NqqUURNoIl>W7oX07 zJUxx}oqUh^P<4E%|I3 z!tXsd9^tlEEpsCRk<%8|43TaAZnhAsL)=*lb4ru<@4KTMYTKcWmVg0Ifz4EG3YY`% zY`I_^>xdE^h6>oAR3yEZN_*B_edUJI@y7gTQQ(mxH4Etw;5VbRw-Xdn8y{x#;DnZ5 z<@xBNi`r4h$zUMx4HdS3$)brN&Rp>t34U1r8G&g{0s;B{hP$S3i-64WRN}$v`>9OnlRo^}=jw;sap;^^j0SBQdJ`&?g|}f{|ofCYR&+Xg9Xp z&v#%%L!KjFHf{HTrB(MDDfu9^6|7xL+2n<;Ka1=fg5|upr-q-VTIHTu{zX0)KgJE) z$$=gN(Ch@k8revBfpS8P~9p zqBKJd5T$_#9p1ViQ!Q%&(r^mlXqc|VJFP1p5iSH{mOez+G+EN`Z<^e;hnwzW3&iv< zo2-`Qm-jDh&zdpTtxEZ6+x~6!-D4%!s^2p;<8Q%#Pv%gAov!wQj&EUgn4}-dSZvGt zM5VRu-VQ$ei8~kzHbyg*>ZEf1!`^?r!^yG`mmAuqmfN~-%kRIVfG5~NH-@i6oF;Ulurt)uhR;$erzt3k!Fd7$k>WQw3j`KyQ*s8#1B>b?n zM<*A88(eLpx+LPRSCv&8Yh@$45;}ygbN<^R`pU3m3xT;f_j;N~M62GvYJOl=+`X~x zGS@~jo!P;7WOfyHd?L`$R1bRiNwxF26o7HWymbo(GNddMPWDBRJ8S(&(Hky7z8u`pOAP*9)&% z)Yw7xuzq*MLKYfe?7$+GIHTWi&8H5s4T;eYxYD6*&knXFg*rU}2OCMlHeVQ0S6@$e z*Z*a-XOtLsDZlEQS1+=CPZ*RUU-quNi(|^k&wrQ1EkraM)jB=EMTDKY55&F-p1|GZ zil2-=ka;$-@V3*%=f{UdQD9|)PyFLKc;uu&j~_a26rMr!eX0;0dgUcQEf>z7DYQJN zA*>~VQ0jbOpR>hl4IwTerCkF#!XQ6${}%OLm;J(pv-hp|70i02t}*{|1H znO9$P3$FAJP2VqQ0D$_$-}^i>ZKcnFWfgNj19xcCr5QS-zdW+1(FTzEfQmI>)fMf8 zJ%c&VBiA`U6geK7kelk9(fXBB3i3qCG`yBwIX1C4su06}Cq_AzA)ks#T+}_r>u?#X zqU*#g9xTCHeeaIFwSX}S-l`nXsZARwzaw4yWuK^o)Fc7 zOf~9EE)WXtoDwcGiapZe(qSr&=<{lsbsSynQH@LQ6rz@j@X?^p8J4t>0GyP&e1I1; zxVrntfp7aOydhyH;*7Np#63@&~6BfOzKpvjo+%sm-^kl7(N zi>gb_rCOlicg8VoQ?u)}{`|_})Y>|0!vuxXUKz+e<$71m`=qtZdD94Iu3+$|{mMEP_@V1las$c5G9C(O1MFynjaEnvUTR z<64SR&b6rz9W7(4$GMQ9RhLFSIZo}SpE6Y{>`j*$5zAl8R#H+@68ER;*bu3Dqmn7is?iBvPTU5?0>y|ZGYwTcYt-2&Yi)6Ig;Vv}Iy$YZ7&BvH={(4Y;tj zu6ezCH^vUqb4E(p&%UqFKVDS4!NkVKma!poKVRwWL?OBZDmZUO-O2?rcuAba4N{DI zF$IUU4&vtx81QXK`F45pmM4HuTtF__5y{vz8e<`iZEi}dD62I6NR5~e*uMi{q-i%9 zmGCO#gkie7`KuwpaOLZ2!)#WEu+vl68O!dc9d;2rh+|5|oWnS>X&{v&`0q3Y`CGZ% zhkk2$MJwxxW&jDFv<#}Nqu_MLwTVD}&P`(4a)TfrDqQB&0RY;s;y&^IK2P?9xcrY| zDX!Sm1-7BU-0JO`1@aiCck^C4*&L-E^Tl0tz`X>_Tx1#ovN(uKj>k`a8Mc|(`1EP$ zpM`w%<+b5JjVDUE2iaCHwBHc%u3}OgQIy#a)o7b70D%59SFoUITeA)xhzZ#Oa>p<3 zM&_Rd)mesw=4o^RG01xnDY#y|U{MMXLFB<&Ki+j&902lVibIB`o|QiwO8FQ>58_a) z+dGTLJ3fvsy;Jvhk1t7E_j>eyl5L&yWqUN2m%d;E81U(cfvDGvDj+jJ8@C=AB2mO9 zvt?WgfF&qztefAnXV0<=se0x>0qzG=69&xej26A=s{_ zj8c!S_@rINxuCPY0PHuYs0g1C5kttE)Ec4u==)O28;T^g04AkmKi;ykI=Inlz}4ec zk6s+~G6c}1b$j4dz6L;#(E_NKW0qvKKYHt#|9)`<9-n244_fm5@*y_%x|)J^X|m0q z_a-OH4%uG4|F*3k$MFB(JNHi8i_FsAjDXIrFHdLO0Th-7>)%N3IyuU~@Iot%^n)7a zOZFLRA1 zE6T@T8uEj|A_#=yiCzF0amd-`W!{B$YBwE#w-hDSfwRTDS^+T7Hr@t6#<5E}kA@;4 z#{oW;EAuDxgw04VAM{Qk({^F@@yDWTIGxUu?F3`Cx`j|d(?aLlk?4ZQr9MZqV-tb< znWYPp>XPGMzggccxq(Yfx}mUaq>a>HM-j37Hx(VHqG z08Ly}xvuZ|w4vySM*yn&0WqS1)3a{yJBC{ zl_02+ZT)EK=R1Niodt8py$xPgCh1!SNj8Pq(Q(m+J{IqQjfOc(bYozY=2jz;X^f|n z)vIFPrF38Eibk$Kw&sj7vKDGP!vLCGHu9ONE37#Rp(qur-Z6av3hur+ebYBn|F$iy zs1_i)rEnB;b@e*HEAomeI@T)z`n_`Ml3!P12|vgw+&z2q@dH(Fyn7-?cIfHR{m;J5 zB_+z+Z79j_t=}P&#>W(=-Roa^b?n5Dz1`hI7JhTZm6esgsO4?G5iy!azo^O*=7Rf< zT2{Uf4Jhvr7TV@p1zv0H4dmuu-aesFx(sY*4@2wcBu(x04rb7&bM|&h>PLp8)7==} z{gG3i4}pax19K05LG<-4N4Kzf&b;kqE*laPfB`JyS)yG1JpKl919OyP3}&MI2QKVq zl`zqrT7)+Bi@M-`dsGze_+0keD~(-zY0t8hwSlA|tp^Vdc->Fu|?lT++ut+E32}sjQfZXGCna;k(Z|k4=F8r zLc1w+7}W1eIQ%WKKSU$A{%Xoh&jPlw9ikT)!^^`>?7Kmc6we**gw~p*&mR??2Auh& ze7>_#WqS?KWjf>pMOwVVUS!vsrH5?uT)oiHA*z*{npzIrYK%4_`67$*8U8h#D1Yt* zt^jIPi&>Z30N+>~uB}WiLUV_j*u~{~T_U*tTkjnBW}s#3CgHT1M#h}2sW?Nri8W-4 zJ=-6{9^Oh8*0I%hAS1epR#^LBOz-DB9ah(CI=7eJCckZFU^(Ies-CYM~p`~$P{f~1RhXX5+fq{7GUemBCUQtP#8E32w@0$PTal5`RodS&b^59kIA0CCuIbJ9|n5V z2`HSsmfQQGf8Hw~W+am0VV>X%{yT)zsPwh@_Ez?o&b)i)*D6v97Z9@v!GBsbv?oe1 zDOb2 z0JUZopN&l8DE&c6sF9)rWuCqS9d#Z8gXNS$-BW6n!yNR(D0IlFX%;!;M^ySH{ zaWE6vzF1U8{-;k2Qq0uk7cclDEsczfcodyUmtG<}Un*Qj@i=cT3=*zyXj+w!=2oOg zhEH+MNGeK6p`8mk#xTkScq1T<%b1a>_OnlKNjKp;Bk9@zetsU1o+rJkV|{(k!&2Bu&O%{YXG*L ze~`uGi#QtZ5 z*3l%vRx|v;5=6w=Bj56L%LR{p9F8QYc`TIj&NqX;y-c+6{x}XcKzA?N7cr{{le+k- z7eTu4dbkL1p>VzMCUW<)dpV$+Sk*9Daz6YQnwJ+=({v4pS^7!Ic8`%)bxFIO%p--A zGFw~46MGb{*A={p#hRLCX^pCU378vPjj7xnpGnEdsjjONxr(~dAp{_H4nWbPL@3pl zc1BiiM{IzXb~0&+W(RBw7ZPMAys(OF)uIdx6zp}@^94VL9h*2m=1}uRSlIrE{n%-f z|Apo6F`=To{3C}6zp^TN;a{*A2WejslW#le?7$5Q*^Iso!Z~-N>}(wJ+r(d8BPRwS z;Pw3b{mj(Zf)}$zsn1&7`>DrI7GkUy9S_Vy%4>K6~bS4k^Ub-_@w$0my`ET z0@kK!_GdaKHIe{XdYOj8$jAZ)1cuewzm5ZDG+*Z2#6(3!7vf`MQ$~A4QRh=qd3>HN zF*eUEx8PJ089!aK8Wj~2@kviI94$Px3Jr~L&}J$ZlR_MHwy^Z09*_PZCVk*9cVRvsbWU$QJhK&+~&s=L}E8TvcQ9oExx(??yg(bKY0H{yD1}D1Bj+ zz*6|Cl1Gh>DJ9dmxXO+6Ydm-SxWCc|N`Y&{JH#L7w# zANjehN~)W11B<43sPR5QR~;O^d9xa11*nO;NBD=~7OC}SR* zCMov>P+1Gklfz_Rtx29hNb%E?9-Ap@yhy;DJJ=>R>2`q_2fN;|fJ!C-kVuf8i=}qB)j_^`|Hm6Cz8D9_H%aNzokpA zSva^|`U5jGb8`Laxn}}$0Hj?JvbmV0*Rlb>h8NwedL`pMUcWH5^49SGJ&Lt|O1*ate zvsh<|v#mNX_{r)K`0oF`=5gjVeKzSZ85u?Rhg!sP1Nm~SH+r*v&_Gku8-c;n8lit1 zPwER1N2oYOihz{23rE#O&m!j|TD5)@rQg6_WYFF9TkW->5OtjrienTu{P5uermCbVc#NBqi>uGZ`6Q?wg_+2a z3v6)P(^dz72N@Z|4U)m(YxSIqGeQX`ZV|0~xK;Ql;x(nU`?it{KV$0eH6H~R2u0`S z1?V`X8X@!1oH^HrJc(I`$orr5{r#IycM?LoZECxPt}7`k_ih~YZsRnPS|^^X-KD)w z?BYMrfUk9DX;)Soj?#8DZjoN_EgSOF4EQj>+^z+KeR?{4;W|T!b46Ru`Z{TcUAj%u zY#7YelRUHH7Pi-3D%v)d*7i&KO{yFx+qzLhv+ZGk0{91?t^@SkLFN2TBqtqQP3+l&NOb%np5qvNS1I}Z+ALsjRrSa<{hB0ybpWJ zcPpeV4n|iw)NG?lH--V3nKIKz2AAr*U*j#ed!iDsSeP2{TVfI&Z`Dq$>!71&DVI14 zN)Ev_(U2A%=snC*+HQ!nCx#SQn0Lj=0Ry!|wM_W$+)bNs={E%+?)vJ&2%wmW;{J12d8?1I zF&s<4Enmx}rrT{4{UW4ao@?hV3HLJo=WU5Be+d|SbSbH5Pba$)2$czgR*yzSaZOac z?V+K`h-!HQH<%8P^}We;UM)jL`G#6$-&bNOd$cAC3^;D)haYl&gf6y@ti6p#Re5M8 zjWJ+X&isn%bb7|sjd3am@;hi7Iebjt!6sAgdZ%9+w4g%RiB(F}h))8ee~)4F@6=-* z#!~;)+`P}mC!lWxYtn847H7UTqM}ggBNwoRfNqq{9#hY)NDksxYK)V7C5v8dZ7ob@ zvQ>?wu_(1H?~d-T$0&^Goc=ADKlVnN4^WOvyp{>Qo?K_7uUA;F%?Jw(ZVgb(i5bZV zOIsPkw&Y6tC0;{Z{(l0PVO!ute55{Fy6mk}bsZv;3j!J1m_s`mkp@n-vF$ zD#_Li0r*qC10Xi=R+X`Mz{{&?oG{gju;W@hWkBF}HcBu?Kq3NY40x%~kwC4YUl9CN z`h#rWX;%=xc}`(+Y1|l)M`LM}&~je>VPOjBbHtp{)&Iy&I2j3QQPiZ3ifiaMmL3Ox z$-0T}x1e7KxZg7F^8UeS!mv!tMDNC)3#!^ijxeZmkf1PE1c-6fNF_SP6h;_M-U8O| zA5n!rB6zW(3mw~FFd!ihD9=kv=OZISo^#p~WqR*6ZTG%J2?gYn9>*1RIm!Sz%XWYj zj(^(pz8krZKjz!tO7iJHW&>C#zr|KN5V_T2f6o;as+lvmFe zqS&%vwH=Qo{m(m56pRpEv!M0ANCz09By)5T#>7Xzc^{Tf#c0kj?Y#Hagj3orv|9$* z7U)MuNpUbp(}r&7--Y{|wu!^~v8(f@6KHIO76J|)-MZi>y(#`|IDQ@$t?wwjw20mk z2T<`1dn%LA)PoD3ANr778ySJ=eC@(c_9@iBw+~lJCAtIMsvjP4_!qr$1CP^3a!mUS zj7?eM_LM&wk&lnnBeHJZ>Ca5PQFodHY~=u*zwhO8UkZuhAW5J;w4|tQ=5igj$hw$vLuVjUD)0>CWK!b6}4AY z*U}n~lbA2N$*$b}+oNH|%E+krK*~ShSA>zd6S3K_n#tlkKkbg)XWD&1!;85FTEJ(l zuhDQ$BCbWv`Qc_0eENUFsHeNsjb)gi)Il0wuZ7dVCYh2#WLXFGK+QjfTRwjLsIH^q zPPeNbXAsoY)x{^r{~?CtjfL)c`#;~#id=>?);=VPNeg&am&R&Y>r~f?fl^P%RZkk~ zAOz!IkeDPK?DvrTa(rT%!PUu$iO8bCG=h-*IoY{HV;}(#|9xAH#@HbYEW{$AKhO-+ zmp_Tw?CGAXpeU^lS}~gerD%S8!_MKv*uP*50s#$FR9x!7GjWNrPKnfW@s6O=`yIv| zttt)dit6WlmFTFelGbTG_`w{KXx`-uW%09#_<^ow$roWFk|JvB9= zXmDg?4h`3T=QKLWn|z{(@WR=?!lj z_{gy)@bdCzxJw)!jgwqj*qg`&EO71jf1{XwtYL3NAj4bp>8`7{g-ve=g7h%=AB!Hi zo*8c2UWDu17#KrP06n5)XLDsJl(9rACRmvA@3~#mjcMw2zNVVwyE&ErkzED*rM<)jT6tIqf@d%8}Ey@GzMf&oD2SyE;KP zprPpqiynvi{J87bEfgz&v(6|1!7S&%fC}~xDLGE|lI)M|9MG_}&G)CvLE(ZaJV6#+Ii8L(r5B8(*^g5%$gJ*zl z)FFPQRv?b;V}51q^Q)vp6(vuJ8*s3;`ol3?ehD%{ixr9U+AjJrEoNU+4$Oc;@n2+b-URD~e?n1_p&$S7SWR7!23i2_;il|l+)m;owIH_9g!hTXSYUaa%f0u`yf|`7{huvDUnk+GXW4 z->&VD*R>BeL4+kh4vtz?08@L19<8;D*{D|J1iIY4FF160HU!8{GZlWHc`pEmVW;+& z=U=2s)Cdq&Od#T4Qr^F)qUfh`5XW??5KX}hIn!CnetvVPJnjB={&n1Q_4wLY7suOg}Y2k`OgyeQ1ZSa+sYapOk8FX z@0|Fuu?&Kll6~JnlePNW`W4LCBZUX=E|MGv{+}m;Hz+)JwI6Jf$BVSCyy8BHOtDpc z#}W5f#;wVA+fFUc+C9fMr3hlWj-KD|=bP9b!Ys&JD4Z>t0SZgu;n$nG>y70jEc_jb z=Gd^!w7j<^`O>*YZ|=G5usY)wLcWEC#^32kK(GRz~AemMN$5!+dDpnEoS(DLZRDPadJxBv5UMFk}93xCQa#s1L*-V$f9dS7w< zO76mSfM6V7+h5pwnW3LO$@@X<^>MOj86TQy0mv1QD0w*ZXrxvJ{M-#s7YGHkNC7_yRX8Z9FLd zI#u9k-hj3wqPHasw0t1%)DUoqw*K4FPTvAw^Q+_W*a*yRM&4lSh4y?S_c{L3 zj)9q0G7s9Rk5&~dN5x4Txe9q%txu`cKNOOn?hwrj?h78TTx5AsrrM}S zYSTGeEbjFtV*Wp0ngDfQ)=m?(g$<=OZxiXs;-6|qS&aU0bncAIrUjxgKp!sv7H55r zYnvmQEYJAxYd4u3tR%(OyS3fEI}_MaDyWuVHc(9d0^yepm6}e9;Ekc2x1K->B}i=q zNDyk)3V&=_O>1u1XoB(i`3ZB8lTmPY2zlfBS6z1K&7`wR7)kScO`M+x?XOGhml8Ba zlpU+GlI}<|iq77ic0Pv9Dz&@}<(5S>8by3X6H)8g?od; z_tYR47i3l5`A#)CC+Q@qFs^QyoV`)hz0ffRA1^ePm}9_CK19l70Q4&LPNjBE$hsNny4;5d`16ALDbk6>ew6iewSQ2hx zGi&uPs{T}Kdb4qWOFL)&!C#2`aem5VbA!$54M4P+OGF?J6Cu1VXd{!`thtTCu|Isi zCuB=%%3#yflX8M>%Yh#rE+*nk@b}M=RC}5mQ%R;(o2q3yTmw@&*>sAI-jz;Ykqd6# zRlmg}Nygk6^19!|6t^8H=JvWJCkS)KMliP9ytkXABa z`@rivB}pRqL}@=|2HF^DZ3kqdXlL~&qniix0Rb1E8iWpzHU$|am*yOReQzi~6^?s+ z-+c|kjYap+^Z_~~Jo2Nr-0&}|@S;;i6_GzoqK-j&2?=Mi5+?qg!`4T$g`-^EE9f99 zTrW!>$K&n@(u|_+p7&c0_NbV^B#!>xnBLh^9ru60%|Yh1*1QXsVrt4Lh3!8YVpwS1E=3sf$m=(nPxdRaY9%zZQHO-TvnR zF+_z?pQqG5IzPjqBV4SEQ#w$K@{wHW&Zks+V%zKfGsbr{^e*IGy1Rp<@#o~>nSmZP z@oz|2!}@O)GL#yXSQ{YWxOy2$o6BOM+tohMx*AEl1hF@LH;8K|{VyZ&IU8#m@Ps^p zFcZ4Zy97x}eNlcS@pCfo5DcVrG2QRohoDWkb1)UJ4$J>Syf7@0l(C|`WKfS?kJzv@ zZs@pOCx0dILSFFtjvF$-Z0+g%Lu-0$MoH_I`nd6SvMgOvdv%}74r7UxZ)ixA)ko!s z0*DVw$aE|j{R3i)%ooq8gcl(Di925n{QRTYPv530Lw0O@BLoC`LDIMS-0IgF)bcZ_ zarIfI{)C4Sa_Yrhh=Uo3Mrx}00v7?-S}|j!0&Qa2KT1gEpc=a8-_XU>Q5e*QRfStsDI)?GgqI2Gjob;O<>c zTG)2?F}H>nVa#KQ6hHe^O{1hj`69L|-IZGq%*0{Y!|arVw=qEMhJd9higP zYy)gRNPm=oEsgBbys~^eQPE-tEtm_mzvDinR{C=!g~y;Fa8h7=LVSRS?KY60u6K0V zo<|0(X8X|^S(JU!bL`VcDt$Y=0twBXQFt-!Q184p^oaA3MM>SRXIwcfy=(C!WK!Tr z9HQ^wP~s|{$%x*dyH1g+E0}F@i_dQ;zu{dZRzRJ#$A>g%VqpVDQu3GF7WJV|;4 ziYq4E28>^xb@M?x3tq8{h(46j0;&q&K+QBDQDzyCT^fy~NS*xA&9-(p=?on=)b}nH z6&87vlQd{hwHpjw`kUJKXg&|x=2iUX&mRsopj4uwygH4;q%y8B;ql|gJ{?pNYe%bR z)%ZD$TVOVX zx{h;qO%w7Y?hB}I%Z6lLRp*5R)j|tMyR@yNJ`^4(tOdx@e!A6wL4ao^P?1>$;M88# z52IALwjzj3jHC(~MUjuc9+*MF{7zwZd8F1e6HcSJC+?rP7n(pN&b>x(rN=rlaH%Cs z>^OK_iQb~jc%{AKeae+O6D;?Q&jCY%#*l=1hNPbpK6_v0X5e0>%5kjxF$;oF&a(1% zmy#|ft-HDzGc=TcnHfB{LE>!qZvcVh`;Twz{xSwE`IB^2S31Bc7-#dQCK>DP^~XOd zzOp1;7(onl4-+wj?nOX%HjIaxgJPtPqOq9==>u4ECdWH0iUUv~n6XHu;S@;{f0 z#HO7M-i`k7st06pTYRun^j$^O6lYZFa{+{?^GH#3J00gk@b|-4yxgXIsG3m@t16Db z7;uAEXp*Om%@U4{c8cPieo$)xkYY2P&MSMD#;&?huL2SQ!XO!$6OdkBi_o*RxjaSy zf?rgZed^?T8f`gclYzQZ``ng=Puzl`%34nL-2k4#JQU8zU^}f3$hsn`q<*Gy04Q$9 zWYl{!SP(vQifc++$MUT>jSU$>>z^_TiU1kM0>Klf1Dl9t)y)FeS=Vxum3H~ zc=*j#pte~vQ{2rJ-rjclmFwNxZ!a?mpWjjNxF7L0N2=Yif*0NC8)KLYd<2kE3q~!H z%;W@=y>3n>54WNk4R3$HNQQ@3=IdSlZuvi);Ki4q`s&tS&k3tAzcm;vw4jN;`r{y* zu4{^cu%0$h5jtBD43rK5)nUE8s^Sd))ZBw9yjS#e4Y}nTSG6fG-kA2ER#szlG z(06|pqeNj{D1lMedIdT5XO_5A+}-*E{AT1dvZ$%LT07F(gQ)hC<;9RBks+RTg}m*P zC6jD9mjXBZgmcSjl1T=x(2w7Pa`e*Y(6fZ$qYF|mruYim#pl7_Kro{ZjHr+QdX zh~waLyhCKUrA|}m-`{e*uAg^F>Ccv*q%@8P_mj~vppJ7=!Yx?ySiNGu$}7RZ2=Wf7 zL}nD1Y&JpxkBh$3UxXv_vc6wXRB(i=s3mCz7FASK(9+XSqWc_Nc8)wcoa);FUwU(3 z?Z@1mKp3kAK+)g(6FE)Z#(C;C44&0!l2j*$+z@oi03I?;8n^lAoDmQ>%ovROlk9^6 zo*La^i#X`_iXR1Pg@M|(#M@*qzt+8Q6}cYMI)5oQ7ke}t9pl6MFg7QOUBvPZ^cj4D z12fxfRGQ_f4kbusikG)kfX}=doi-15s<49QUvxiSdB?QCzFDgugZmt>ydMuO*Sk05 zOYyGu;J|Zfr5CiFJUSpoQe>ilTE}8iJ7)2?U(?D!(%hCWBr&?&pj;-9@ukipvf&{n|&q92Z4=5m8 zj|{YQr4G69GOzZwG`)O&tLePo=;cRim?D*iub5O&zc#e8b((AO$&mH; zOpoX1<1-jo;aATNDYpBNxH+6ux+jql@8A_57h_E1dhQRfU%)K5E+<0HaH?F{F7?T60Vy8-g7{taGf+kOM9SwFLW1`gnsxW~ph)vj{7 z<1$tEAKhMrkQ8fu0P?Zd1VaUpbUHkYnE*ApZT34qaT4|LD2pJt8n=cn&z3XZ6JT7a z(##FEj~g(NHRdF5HZ`s{RtP%egdZcAoWTbhXhGS$$J+ARUB+qPMJz?|Vw*yFRiT$> zwjt_~r_>{MH!ho65A)J*HXrNeJ|paEXpidTOQug26;9 z7s`!#d3t)!IG2_WI~W)nr_a0{+0CZzq{^JFEtyB65B^9ohY>fT2MNJ{ef}%X`z+Az z*GAl0{2~r2%ggh~C@ONn+W>0S9=bjkvKsYWkKYPu)MxCT-LMSf_FYRdP?jI*Vmo~~ z8ln)j=h2)k9*my-9t+1EGF4rTyb>^+K+f7fq-&`uS2E2J#b>NEsy+Oz#m`<)MomZl zz=dCGLo?g6m#4RR2a-1-pC7(7xB>Ad=Hy{LwZ)CzH4d2A#w<}i-l zYO9dAyA{AL_h!4vk+p5a6_j_pfFAk_TOlXg_tdW5FA06!m-+l#N-{0g<*{K7sXkeJ zSBsWudkC^bE3*U;`lemQfg;7K&}})mk9UQ~fRtxyHb+MeKf8N1o-svAijy%8tSdWO z@jtZyRu;(Un3&Y`Gp%_<#R|_F@sh()S=so-bunFwzNe zNZpkk*4tpGg|9cN+~K>!7K&RQ5R`c$MP5HPahP)vf*5C54`KgoVZxu;nK*8foOPuB zy4PNkf*Ofyim-E?E`EO>S-+^*E1L;5)Xr2I8TP?Oe5oVR&PdWf9(c)otOAK}^Z&yu z7qGZ}nJTb&zj{{gSKshRR2tY_L!SJy&^n~DZ;~tY)k;|eGQUi#Nxt<3UsG!A0?pMd z6W(&kXPk7Ue2iE=#w)#OZyq->P;r)f_XlOA$1YhIW=is$(RT`fB?j~_1 zD6ET#%y1D^k)7IdkZ#ON+kfJ>pLE|H^X=(XDzYe!j`?^26=jszv+m5^2T^^{%2}Qy zsA8QX70*&C$1`dr%rfEBn@8u(Yf!s_@Vo6|B0c{4k`xRzUoOXgzDpB$#s5NH=x6G{ zXL^}#{%hX1BU*_)hwa1@m4&Yypsi2kC=psLz{J1)5~0KTvRw5yh6t9Fke#8MB z+%~DTEpa;=PYqa$RJgvLgABgE)Zc1x`9aHB@jG_6u9XD6pQ7Foi+9TvhSKAFj_@Ne zdUTqXU(wu;$51{>QivnFODZFJDKh-Ys5V^d6?@J+{z~SbD-^yL!t%==ZH+a-%war7 z`3lD4P|r?rbkr$nFM}T~GlK z@uf0uYIKty0rKyC_gmH^rT5AVAN99^IKK z*8|h#8w>-@n*HorqP-x}){%k#VovJ&OB%@zm<6KdD(Y7q9V?MWsJGGoUcV}%W<*PV zkU3aAG^3XDz`|FHJ;^OZP+$?Fi)6&jHolEj=690NMzHJv#vyK z;54^gY`8dv&$nE5p-T08!TZk7A`2@?%pi^24SY*c`xdv}y#WE?poCI z^=&K|9>aZ6&MjhLCXr+z1|VU3`}_XJS<>$71p~HxZnB5r8afqvX?!AUo+=crD%xz1 z{_+pvoO`n7@N+U;2Hs@JrqVWXo;^c+rziU<(6^Y<4^C~bKW0U^ zr9bP^5(KH_X;5jgh{TG*rdYMRGtEQ7YW!rSUbKTwCoFoYFv%Gb8(DjUqbEmuaKAxT z@{4n}z4UZ7<@hmo_ zZY+~#Fyy99s%ixNj$;zD{c*j&1~pE1o%f?^**$KH*-azv-};|@OB#*>c9^M2>0U|T zKO}@X-(0z<*ff6uhO`DADO)|mDah?rx9wV{;uz^3XV)}Uc0&ah0&4FJz;qkCiXjM^ zwHSpUQ%GB0+v&Q7&u^1Gd-#inV4Y<7<7|8I-be0BlD=*T^rZ~btkS@(U}cG*ea$%^ zpeER4va-C>5;Nncdxr1lqKoSsbqTUfr^fHm#vGijHuR_IerVD%{Uxk~e30)8muThE zX;Au5qSbO#!jzhv%&vys+)P`z=J;V2R*Shcf&-=$+@A-pum^pKHJ^RVe^X$tHl4rod5Y|#-!pZr| zafpUYM^CH5F0B-XH6ZErVE*u=%#@vYY|p_e~{gLt)8le%Gb{~_2 z+&dKs*B6eORi*gmgVq5pPuDt|Z%Sdt=EQO5Q!CZbuI$tO6P=Bp zqa_L803*Z51Rhd0dJE0k@5O|TU!O2djU(!UT%#j!u7c~@4znXt7lJ-Mml0Q1x@#J`Tt21WOsK|h0VkBEsATP+-5#97WE~ zq388~o4&mO9zg(F=S`Nw`Scb(_{Ya)e#}&gF#P+avnw&J_s!2MzlRIIPL;a4p5Ak;N^_wynz3of8yp|+z(L7N zA3d}?y3q%x5>r{R|LWqIj)!>$ewDi88T$&W2IMW|t^uo3+_oCIR76X0 zyQG1#f0QFr%yvn9ByIrlp#~Gdt58%YFtEvhvv_Fycv#|h9wA0+Ka$z8=3lp&;PHBm zS8O0Hp4(-GW6k=!Sb}hl#~>z*n4gz5F8@~xlrD>iFU;@|pH7aNO|+-*#uBOPt+9^t znuYEND&kP4imEDeX?69^^Ec0bemv;Uk7%(Q?(a`xZM-2EktztiL~2u2mlI2Y^RueM*sHSwp{v{nhi9=fDkf~{ywO>1&I?vB z?}6P3ZSN0Q8s~g^a@ET{3F$rj&*(f7UT&`ieYo>Hquy?VdO~CJ*DYqU1b*|NqUuG? z>64K*@u{L77R-YJ%0hIpjuc7;u2?Q^2^FZk?n}4E3jnc^?rX zj}wJ6bFNl!s*{{7CkB4XPw&UcCGcxs9ae{RBrc53_^Wzu<@DKdvHBSF>Qym33zmwQ z8UL8SFQ1xIrxo@r(X-|#7}u<;sP%D$b%lmX93pz;8-uMVq}mzrc1gk@J2$#TUqPjoq z^7F=>j|PYHoX@ni)T8L;2ilZq{7PD3kDpv20=PrKpP9>+&JBk->aYW?o?5!^n(^CX zlbQVWhl>hB2%RJUZJnb#{I?r_vt^CtTZGB1Bn!!h375T#I6%%;tsXX5cYG2adHR@) zaFUf8nSyXgU<_ws5>~H|AzSax9}SAmS5{ZgO;1n10S^?5Ua&uDh#1mz;n|`7Uc``Y%hl!>0SZ)m&YbZ;8 z6*ZD;OWsH7@aYk`tC4jV0dudq z+>0fQ;4g}69Oo3>lc)6*A6++a_I3|dsgQmw_(lgKTvWYVLU(L>YF zm*XN(B%XR;p;>Zsm#Jq^1IGf@<5dKLR~n;nGvau5OHjam#cOYg#bgpo03%~c^zmNOSCMqG} zK`C+1I{Mm_#|!;cpY}GZg_@ze4_>)7X;e1O>3(F&}H5!#>A7YKzPAMXxXwYb~Sr$H!^RIKXJOx2*9AFF;CKrWN zn*0AamUJ?yoEoQ&pp-AI4#3}&j(Aa_#GtN&Rl9SrRr&m)%wfs45M>kr4f^=ZS zNwRNxo+x?$XnQQ1|NVjt;<*=Y4qO5pukeB#H_5r>+m`8nw5YJrOQ&MHvuck0a3X

^#?B9}M6U@{S9aSwTSlScnL7XD1FmaMF7+j+H%0C{vDpH$%9K8@@})zo zU|LJNF0FO5qA$}zLvftY&0s9tzGVga8e*UEc#q~)2wGPJ&B~#ddtofT>=>JIQ9Cjk z$${TdTvht(`Fj)D$_5xyg@!Pzn6A79 zhG}1xs#@N>(C2g4{mDQ>Z{IUyka-zS^gyM#amyRiAy-hj;3;e zBW%n~iCQyxot8~3)jUN+>^6pkVrtAswW~_wb3SR-#_DuSZGR=UQi>hNEAon(y&e zq{M*J&`t+xuFB}VN-Q&!gP+%!NFDIK21V!?t>_Y%ariV^?e3WgmpQ^Vfewd5ke<>L zC}1Zwu9YyD}~0`WD4#e!kfv0$VC# z&t7ovcZ~>pPIFOjQL&KQN}ozpY~h36f>DIGTY%O1x`9TmE8;gxN!!A}aIO-y6c8Bn z%}Y2EKu45+#2v`;?R9d}D*4lV?Ffg{tk{kDVAgGWUXVyCf3d>8WqzMDa%Ih__UU)> zOPYWl(g0^rNU(N?VN~==KETf$z?v8Dd2@n6kz-{n9_>bLWe_Z%SP9>-=hpg#IG@!)TF^e0ryF~! zP|TQ4o1&RJWl>e{b{60`vO+-w=W19^Fpr8J@f=!s%BcEjsWZCSsu|dw+qH=8)A^<{ zXdNY2#qI-c9L(C6X1m{e*y^;yzurvy+t^1tb?i|)%>sqzf8t~SRz=PbFHIk>-lzT* zCaDshS!488)GbGi$mgDQI;4lBU{knIyZjgb>DE0D^Gs_GF}J!y=+lxRP{oDPrMV&qB?ryx+e+0)-IR#`pc+nORz@*xQ$VNo)UB z<7V0XPwkebLA}=saQcs1RK8-CFJsNtVXmaGrhJrG^dT;vXxoH8c?Me+3BpvURW z7pHt8*|~mQOVZ}BsVOZSDpI4jeiDAXqc>vj-AUKb=J!e|gBuJ5?w4U{TP@7|(70vm z#Exz9aPaMbM5ol zg4lPMYLqwyU^fdK?}fAtJpf@3IxM_U_Oiceoe`fAg_ENMgX^{jNrH00as@lsHB&Rb z3Ml>pF7ZILEPt;B3$TpIRG&MTbo=LQZPv6qbZ}7%?U%C8$uDP9d#d!{OxtUzCRszX zOpQXz?p>!t>`+m4S@!Bwi~V6Ft4`K_UtA7_z`2^z2p66dBBkK-8y{bkk^nB^rl&yU z=>Flrrd;w$6e;mI-P?sJcuAR4sZh9SakTG^3|4v5ncjYDttpYi0$VUao^RUTP2Xotf2xRsPhoxCG6MiJCJX zmYP$@&{QIKE(|7Ec(}f?aq5Q>kU;*5U;CB@o=F7{lkKSDmReLeYqVt+Pd3MDAEOuQJ%Udo@@(URnBhW~P zPUd9Gum3YMqjp4|;DqAn!>oQ;>yM0N;0+fC-zl@Baz=W!g{WF~-_6Sriys#?ARhyC<%c(e)hj89>{?}dLB^iG%BWS&n;qS;#(xl}4gBC=up=vfSRBa8-xEDhkfA6W7)895}~khp@_Wvffx* z@rQo7)%M0TMLU|h_eQK~8GqY|z0(BOs(no6cgIMRx|0Wed82_?ITT#5%FCal*0~FB z&~ng_6t({vc`8f1f~;H)o(Z~cSVwQ*;MREXscmnY()ZJnYvi?tY5{zwDYCOL_JH@I zL%0ylFu?Hcqlnzcb{poYbl4$LL}oX}Px`g)&8*vO&RN}l?+}*I4ywQeFr9$A$*)-t zX#1e#gy+SaikTIzLb=p>TFz|Ln(_g3CbsU)jK`>0(5=;{2LuEJX2^r# z7p}>2IY0s80t~S_2@&!uzb58a&C6DwuG<%LwkjzfhWlfXO{q#h8HMI>qp`e?2^!Eb z6d!|YW0-1Yl3Rsaz^%~Bv8%lq@(!Y_Tg^)zU6U;t0b;E$!zEL@)C*OK*~N$9$Z2g~ z7K87YZ7QC8UuM@= z(VKjR5j-i$2(wFN=+)^EE7r$}cTK3FVKl~}5#wy}^GR|~4IMl5WUM8J(=8JB1YUqh zB*r}{_5y=JIPZQL7p$H4A3GV@V5(W$z{ZCnZNmBn-TtMSDboJ>7&?9BrS4}XU|F8Q9nVo_#>5?D?o zYW!$Lf=%OEWu8A@CquRz_I;;Ylzq8LmJ(6$3$HNo>3!$foDqH5D`|Pk0YOq5E4j)q zDZG1PN!MkpFff|Y$?Cc{SJV*wS4P*rtr2im)-%ru5j8pf`nP?u+i}d$@#{TQSqE_a zAh@O%E6#T_RDWjDUSW5vIWQ0aUkT9Nu$zgT_z8l=K%7?5+5Pj^x3+185B0bz+wN%5 z43BdarP!N{^$S;GVQCjJKQh6(9)R?Jw&F2`4u8Ra(IVd!HbFha2(A`XyT=b7hI>yl z#;Sg|HKmQU{v2iY zLsRNX`1d6$?55=k^T!#b?0C2M5C^V#LRNYDyT50~i#4xYpsx#G{0OT6DmswL^m;B9 znSJ^tK$Unew^C9IwFl11gv7)apKkP!N!?YY!Huq5#}8*Qx#PYVsAOSL=P<}Xx~>Zl zE0e`FEnFDuEUy_pM0OMY`>bKlnGT4I!wT;Uo6hnU+TP(UcoL)?mBe3R=LUl5Z=jIos_r!bB>(zbRHh^c~Xl1sr zWky6O0`~y1ziqb{RqpTKqBtf5{AeT9#~_3eMOE*6ot>SFH?|ERrr$GVG9Svjb9K!t zedoW(chk{U$w16{`ejEiuj@)!D(#|PDtc;c3T(vyU-7v|4ec&;B)f0# zt{#;N6+1npA0nMSIK|)-x1#8TGh0EPTko(msGCS2)<0 z;&J$kZD^@{W6)lH&{G~Jr1x&dh6i@G?&RcTw}a+27|$uMw`Sg`nrXlae0T6C`%B$ z^vm((!0!SAnbiIF&H5@-cx$Jo`;MLKJJ@GAbB#?=PE-%DuJi6N2LA}67K6#SW#ses)7WI7vSsG(dC?_ZYvp|oBYQAs@XWrd^}d8-$G z)jN29we0CV+}BXA3>Dr*xLHpM8Pz6FC{F%D2%e~+Y`eoDsCEWC^~Cw2EL)BxM;i+V z>Cgx3D^|LmPan=}ooBe9xLiz8sX|$UW2aPhB;cxnj;GY?R#UN%6a2!=Y&Yj#oJ zES%YCDwdbW6e&zJ=&pGz6#;@}(+3r_oCaoT%e_oWbfjDHXsHY~-^um*e^U66XX}hr z2NRj9r&_@!xP#jkqy61ePM*l$?3he>tKL_l2b8gQC($UcO7kPTw&gKG(20;fQYrzN zTzsTQqsy(-Qe~CnR8kcx(_u@(qcE_b%2%pSPi z(Y8W9%XRZycRtC3PpZIXfKS0$LMa?F%O3Cr&ATx$X#R7qjcl@x9O8QGOX@)#c%}r| z56Id>vtKd;Pf5bXI6moh3vAb!I<{87^$<1|!XFBfd{jbRSjhw}s5J+)?wo1uOEnS$mdF24C%v&;xydwQ{mjh$(tljUp-dyhWA zzR)~u{(+sbvYWJE{7GAem;b*ZN?faz;f7;p`x_~-z{j*mF^c?7Ry{Eroa1_)43Gb=F?^M-n5wS1N7+l z`5nJ)=(3G@Vp`oXGBN3z*pSu>Zh5x_Rt8-Cv}j{O_mTZd>MvZco%ASEdEtxliOETh zi9T8GX{vzcfqfplz}1R2?&AL@*9M(Mj-mT#C`TSnpOX~FZh3(`1PCzCRwNxGKpQF;%^;^bX5a|qG1D=iTgUK6=l@_xHisW?k^wjW%OG^sE)1U+ zXpIJA@KIP>o1^>n!*OG1!u8>FaB?y(hmDS^{y(3#+4 z3&URKS(T2<5~0hbT|bWT{{N`$^?&KVRykBD6`#*W{CGiFBu|5BsiX+w3tO3f;ftQIEuJzVqVDBxuNX zttn1k7Ea?bMYKe06XIeM2X6P*mQ(4}ibQuh6kl^uMYIcS8PX6?wkM`mKz`63tny%=!w-tKNu;ra}q4)!lD3jF?CZX2zg?=_zzG|W3^G85Q zb9Hq^r4Lhe=$EWUJ8t1S<5LwlX}hgu(GOKxjHe@!$dDuZ`9Rt{P@Qn41OV(4NpKPh zH2j%jn$>2WiMze6Xwe6C&bD%|7mLUfO@fWjEw;5l`ASE@unVt-O3TUDn@)0kYgF3a z7l(pjvlB;|_;;25VKb(BC0YGmo{utRg&jmdyiS)uV%JSg;S?>%(A+e@d*2lHDWD*XOZ3a8`NNZbQk#T+|N$=9r~6Mhaj`?rG9`p zjCno0^sr`gg=HqMPdn)n7NE(mU9-JnVzzPF;dxlrqCarYzSD8}EOaO;Lg4AjO8YFa zqJ6#&Nfq}eP~PmmUq;<0R2Lc_p~WUfbCi{?rka|>ZfLl_zkf(2VQ`Bw$U_H-@~-bj zI#%|xDj)_18Oy!N{MmhnI;>+wP%TxC^w)JMzf#V-JKr|X^y!p}T^*%aQm*H-@fR35 z7Yz@d^(92@4mA$I*mx7Aaa;SZtcM znN@@$_v;tFkRkW(ip*p-{h49aDiup5(`!#u{_$j>lwWPVMkgtY`C%mOrXWCaT=WUT zKWV66{_LvJ#<}RiB3v)smm8G#KFuTdKo*t<;G+}b|y&Un&>4&N2F=n}=j*iH^S|CrF z3duBJBU8ugo^upf2Y-agw=eETs`78l>}-T{yH#5+T#DGF52o`8;GJdZ|8nK%hONTt_d zbE1kmB+7~8>5>?7>DXFT!3zfyQElJ_Y;IC^qYmUctjf~Jk)Y;8`ozWpo zQYfVGUhC8gsDo*HU7j5z_jxicb=sp||Are)+mXc|nQ9Qiv1V@SP2VOHRAZoL4JFE| zLOfWyc<@y1d{%G6{a;7n*zSmGkH~H0zeu_vJ0ND(;JB&C4V$`vaqQw5qc3fS9Bo1F!ANrfatHjyEL2>bpzOEdD=S zS0mVdT;^`Jt&@dxtb>8}Ip#pa4#IA%i)h+tElOiD-^PJc+`s z;NCnMfJu6>`6;O=j#?+w>vGWYSd25rFm;b}@28EsfgheKbhGjjc|YGwI$7L~8Ol9b z=pyh!ilMV_;MQynq>wC`fuWrkem3`oLwrTh+)e#+o^gz+xg{kQTIoXj-x~2lp>!k_ zl_qLYinhv-M1 zF4SDMWO!7(La3wY#0JgUeeV5EI#RHheEa#vd!Ahv&ZXVe>5&nhJXUq_ie}rMHKOS- zV)6Y8bna|-t29cXXl=+QxT34v%4o#!3E>%Arx-a(6tDI~y_4+;nz*0*vNi+rL6R^* z&j#$oFKOQGx$F!`y}%%K@=unIvcYsc4OB>Ewg*F{>-Nyltl>J zxY+3o>Omng$2p|gN3%gOt$$Tryy$rJPr_^V;Ig__br2{+m``_0El^4kxW&m&rW5fA z8o$1R{Z<(Y4k*nu`Rmz3XFAev*FAT9`1ja)@Xz}F!jDx--s+brYeymiDgC$=JfyZpZ4{K z706C+;S37THR#7DAJpI&nEbJwL*I2gwdxyppsk0_{tiPR zc1i(J7kP0buJeLbEq8q7*`e3Wm{zv6pnLiRUvkC_yo)5;Z0TtJUTq(0oJgpV=`4-z z)-phXCJV!7{0Y3!%EwP!^p2w`*Wbd?cIbjNLlEhSh>=P2N~Jd#*=1$)5;ETPhRV$| zONm_vYi)8v?(X}J7Z)bq&Bo65{Bx0;PjVOts@|_&5Xe&Z!LlSH9Ch0k9NA%vYZfKJMyb^^oI$`o7~beHm9) zdw%*CQcOM(GqXc;kPQW^u`5G4eVRUey+w{{_zv2*1ucIcxa(dkGSedX!g}!2S6Jk$ zMOkoq!_|~xj<*%?!lS}qKG?deXfxX<*U*k=EJ`1mjqg6BaeS!9;w|ln`9H;fX)w?W zb=@ikMkLzU{=fWRiPB9{ndIbTGiz(^t$ipIn)>15z2Wd93@Aw*IeG^GQGD+$YiKTj zGxYY#4GCS9xNRF34H! ze0}l$Q}pDo7d{F`G4StUvi)2Cb)Jpw3xrfD{F|6pgDWf3@tNh5ywt#uXE*;j`ocx7 zJFoll#cInd$8jSCW{8LGlt5}V0>}C^Cv_%KRe1Mg?0_RY`O4Dlu5KK3*PeEHqcxRw z)d@`{z#1evM)~6Y=lZ|2L|{>L_r8f|fUtE?+XBc?06-W!9#Xq%E%29kixDr7jueNH zAh?4qB&8leY&Mko+h(v{myfa{S|`Sen`Jzl$rG#cg(NIe`SV_#+(XZOh!vmxgpuHGjdpCyQpKkj3x{y ztF-}^Zr4Q%L@+=#Z1A954o3!8NZW#-C-v-ABp2yceSxaEv`+jm+-ZF4NMQ{OiJlaP zVkCBQtM`O=_+wCuK57bD^aalt)tld2tL+JtA6L(P8YynDpBdo6CF`=Ep*)k`W-SA? zh#h;)t0n;$h~3R9P9T;dfB!?TYmY0$evud2w$QP9W>^aS#5rMaaUc}Xd2qRIUYjIm z(%L6-hOE^q?{x(yOsJ`;rLLqI3pIp-9~TiU1cv8q;*NL(_NHvg`=F6$`JjIv52DXWj5zy5XeW3PAD`vh&n&ur zD)n!hx1R~L=}i=XuTHD&!MeIrbrK)GWo5f3`ttFqhmhFI$5MlpQTaw=yk*-*B~xW=z3RChFM&4a^cj})Z;@V zB5`@0K+*Zt`iXqQl8;f~B!!ato1Yu!wo1vT)$D4;kpV`}AAuv!c2E9eOBoRXQQQ+;N|7b&P~)K+B>@dxg4ABwHmcmjNII6 z03<`nhw~)xE9lr4fRw6t%Qef5=rP_{>tX_dM-QP?)TeM4^L0QKPOh+-$KL&;CORF* z-rmtSKfh^3f<3W!>H**%>t(DBv#bHlFQ9P#dE7(b!{FoN!@ut*4ub+G1PDCQcz(|U z=# z%_L&B*)E!<=*%1^OHTcJxD(^z8+%)^kU=G1dOad9OEFipw0oj}!mZ6or*gxxpdi)B zg2LF+-K}Ritv0)LB3noF3qE}ygY<3d9hw%A=Pte&H-5C=YCjA0^m+M6%~;&U_|B7G zC*6k9Hy@wuA?D^T_Z!^5s`b>BtFgx?1d%6_IKSkGToosu)^R&394to0K$iEhuGoLYWHXE>#K$)U6S6*A zK0DCz3_Gn$Z=-kX_MM~KcXin({EBhNzr3H9MJO6Z>{jP{S}=4`l6T{@yF29hTb5_u zby!wai9{X?yF4II*N`==O$58%{VH&T-fELTaQX7E+MpiU#-a~+MUaaC@8#twqot+x2G<;R z++d=R7X8OVLqn1|LlH0!A&SR_O89;@JR$FCC_7}J@aBy}JlCheVqcr}49nD|Aa{Ik z0ERq=h3oh3M!p*K&g-5_cYtY5Z_}=I+G@jX?C*c{_@t9rB(mc+6fP4L!hnDO#O%;) zdDhdbVbwP0%iHDsKEyQTUgygG-GFBMTmJWL<9m!<1XBEu72W@K83j z(|;jzHZClWHJX56Xj*f?i`Juwd`pEFzG+l7Ip@F^I3KYA0<`~Zc)npR0tV!e_O=if zrOXih=iq?Tf2%pdrDw_RF|GTO%_rvq600iB$I#QibtNCMOFq75CsAFgm*1H>+Sl)`3KC( zZiN;r7-d-7&`vOpT{Y>SxnjfD;8Z8jaZ%fjLm&@PcNTG7OONjRQSDE7=*?KX4*oz# z75^}QS5Z-#9ZHI`73$aw2F8jPz5$LJ{zj-o6)6RlN5*)@tS-tBLY+mm-`TNm#`(+z z21&=nv5QyBANjMeOSi1@V0lS?XRm^;`fh$i{x@FbXouVe}ug7QkTLAN(Texk^1H4N>2~&vG0^@c+YadkGzVd>$U_r|9S{rU8Te(hX4q{ zUB7opR8djvoX@`RoO+Z-U;tWd(tQ-`gfRA^F-mtnJKf)jpP-OmY`wUD{M@l|FF=M_N@9 zb{MiIYvnJtm^_RyR0dQ8q)-pn2vtVZ2Zrc(fTYL>J8lEo zp!c#XOzF<2(&@umj^4=P+-K7XcAsS|JxD3S*N8P#941WfSB4=(y_Uw5?Hr6b*YQ`!%l5eAeT> zME8r0r*iS{#UH^pF>g;rT0OseLPvo|0MTk4|Gnrk0Ns7GZzucv=RLE30Ti|}TK|^5 zsaypOy9Ig~efy}@YfH=d;$Z@(?6<^fTg>lodFJ;ZvOs$ied3BQ)^s{`&r&kj=e189 zZ|m-!ab5e8UFp%RG)?5Zl*s+!u<^8q_OPky@f-Qv3t~d4vC&L9Itwkw>(UNsJLwqO zq5;O19QxTrD>HkUg6%8&rFU?E{>S-toERaxEA|*^DwyEd^DQC$CiGoee{sHO#sHla zvDMsIi9sU+hU^<9r6&cHszk=v-QK*;IB#!%ronitJ2`9N%S~zhMznz-NXMV71ZRM6cR9m8o6R!J!w z9>8lXJ{ph7`L8wFf#a;KeHXF5c0Zu` zq-oFhXR1ZAm6+E{T=T)85K2lq5tBb1o3^yHuClc7F$i-w0q9O~mPPxRSlzrF5%!%9 zUsig^Q8}5Zy9Su|zWVGc0!YuCF+R17yMtaoSFeAi1YUP8r6<&ipZ;b@)SeEfikj6K*`2Ule*A`5p|Ca)lN z#4LI<8h}0BMtohxV04Z9e{Q``%T%K*1Dt%0imv6PMisTa^1B%gX8;PkSd&q?xz6r1 z!Rw-dh=c%wuN(I|>HzSGQl9dNSX=QV5kY!fx*)}`u7dPo7C6Z%DFn$;Ik5MyhAfDm z`FRu$e0t_I_u%3v!LFOJ6>b+F3n_l{7k@#OU@l(dvzmhP(foT zalmM>t2}3FW2P&fyrukZ3!Gq08#8yhibXqHp_x7OGHfFl?lS>YJ`<@*BE3p#?}Z*2<^YCNs_ zuW*QN`gjh9M$*dLrHnyJ4ex;%-6M}!%f@$B`ch2@psNmi1v(AnFc^%;wtLihf-$l~Qktj# z7;Bc%QP58qaza^#$c8}MHlPim%$k+MQ;c5Jp~8@J$}+6*Cf&x??<6Iz`^F(-zadVw zu(=c#R{Z;tRCq+D#)ZbDUp~zb@abdvv+)z38xSAVZGHyubWXM$gqRbtBEDaL^`lT7 z!QM>{gV%{AAR5V2#TQ@sgGB@+jhSL3_83#c-YL94COaN@lKNey^Gz6nOP}nmM8U0C zR-IP(talIiVcB@GK^smScO0+n;a@i@T!x{w^5>>wk2f0s{d-}V^Yz%{siM>3)MPR-bL3N7OR(%A z5GbLir)N8JpDj2{1O78NSISN?rT((~W?EwbJcAb)8DaJHLWVKMJdoC33VV^87OXYQ z2lA&Tu#|3BE-o&;z7#=8W;xrs_Xs7 z_}{DbeG9vi%g^d#&8PeT@#tAtSUt9tkiY`>}*YfI4NEuq|fKz6y%mnu(^W)H1 zEu82-bwJ~71>~Hk4|z3OEKs4VtBaweq=Zc}=pne2s$aKS4}Gjd%@VW2F2D)CdxN)6 zgLh9#zGnyuU2r!IsNnHLa%Sh`5JRIG)%1_Ir{iqoAMIDHe%*UygFGj4ebV!?2!Uf3JRLez7BxblK9ay$l*GofP~bzS8QxP z|H7=_4t?VMSZP5#YVr!0jUxAT;l1)ko=H13z|5}a#o^2Or4 z$BN-{v`LIZF7WLQU1R)hNt#HyU1R6ZYCv$Dcb`rL_6c8U?PzS%%BTGM*W~*tu>5Xa zCS7VD$1P>NY3C`4m*GF~)mUN;?tCxrmhT4nohCG{YdDAC)jS?2Y!ewoFKqtilgIw!4frdk!CNbr@a5$?T|q(nXiRcRZeTuJw-^^?!}kSpKpL> zXGvmNwEx6&n0$jp;hT6zsFeLwS19P10gFp}DGe!KwIjaRr@MoN#k(cNm)yEGl=E1C zaFOP#cO8x*l(2(}wN^0v388mC8(2Mac#$n0$hx{oE0^@ylNqKKhdnPx^nCWyuNq{0mD&#?QjF??<0WfmZeXE4H6@PM< z)X{v~2)U(%x3@64kVpG2sAUY6DBv`(+E7PxZRQ`se3)-K=zpOc}_criLtP-aB{xiHy3|kLliW975&7Mq7>%A>iIw4 z8yFa%zjt3-a49)El!lxiW#R7w2h@Cjnn(~E0>G{EjUn~1K-`K1;JmuWimVaxIwuOi zA$zysz^I@l&5+o`etaj<6_-Lt%YOOk{veL|ZVRCfifqh3eYz_VMlNPj7a1pV+AVYO z2Bj_DT!z`NgwpLx8;S6CyKjs#lL~v)Eq9-RJ^hUx5%`1_Ea-M*7CU08D>fhSOX$Dq z=ehuby<+CJB3|48e`riIW7~2_kc7%-6Y`^xsFh_9gLB zcGms1xcd+6!QURI>}`zE(t3dD4*3q<#IYQ9Yd2|rLM!(V2-KU)7t4v(KWb9&*sQrc zJi#hY)i?$Z!GW3qV6O1?+1@AnT(kLrv9UIs<2i3nh5xP7uV%`HB=7b{bf1BtzTq#R zHio>a7XtgoKOjIX9=_B6rn^qMRIj4DYuh~QfZhTcBl!UA*!K8Ekcr$OMJnL(sK_?f z2YMPT)K&5VrA~sO|NVO#JahN>Yhs*8OWg5(pKxq<-N;Ub$)GRT(@7j1G8_o7O$YET z%v@gM*WzwvI2{}x2eVsydpG#Fj=OSHyF$8@9w5)o;-w%H8*|M8fOMb?eVRe8Yv2QO z7Z;)cT#6$4{q^k)o5l9W3d7-Ov5^vP?>395D|rfk`gd-|!U z$Wg}wv2{@>gUW*sn{p9Wzp=2eFz-^V0^g|j{nH$N)|cwfRH8XeYSXpf>wi< z_CIRsQ;mm2WmxozZI)Gb?*-9vqyxONxb&iRGTo5Q#|4G9besl z&s${H+}i#7i$n?KQiZhf8pS0f;@?uASxeq{ee}eqanrmG_4(cjU~4|l(!Z?}&gKhF zgreRpa0w=*U$FnN>uy&u_28HNmA+U3FF<Y-}S@qvD#+p%ChTmNv9gCsmQ zqSMegbv=<&9YhF5BP@^EoELJAG;{gX2y;J{Cie!C zwCSIWT(XG_M?q7DL>Tx8=qTk9lY#S!?16v6823HJ*-lI-yqCL)ut8A|-MRjY zvt!IB5DO^SMz^BQkuBTurqEhvgx#IR^My6T>Zv2P)V>Ea3RcrKEyPr1KqT9MhI)4u z7l3={OW=1BZY!HR9p_&^$Ejsd@7q-;>6XrQMl({=#p=wIZz-^B?e*VNP2bJ$1b)f|?O}IXOA;m*Ok|4AZn~U3I4( z5XE;6$+g2@F6l4hCVt9pO0Z$EP0O{ayKnD+tHI}K3 zT(6>1O%Z<`_LpUOQuza2zi%z2*l;XZz0!ucsTBVV814dsD3RN`Qy;>6_=9=l+fz`$ zj@k7bz}5Omt*k!1#?Kd-IMWdDI4Nf7?mA|;{DA+yXqUH~a`eTdOhK6Y&cC-1+81#2%+wMAO(8D!^E2z5@FLYehz*oUrG!=B+6W!sUC%ZqJPdWNIJpA!EM3GPW=<>(NH>#p# z8x9)UE_wXG=o0*I^X{kF9!VpHim+$Q@of#+X&-)3Y3(oQ`~DiK1Xs02|-Ha!rgWaC`kSnWB&ovRNJ=y!dS2ZDxgRa1nE^! zK#(F*l+cl)0YVc*Kzd6kc6w2I5fB6s5JGPzAkv!%3ZeJjAyjGmjL-9a-+#}%d(WP6 z?zstJWo4~vUFA5A-+3`+Kiu@fddUpG<5N&pgg>sTmd(}vX25@mJm6gBmhkh=Y?MV! z<;ka5gyW=>gj~4d9KMbvQhyDR@%t6mEW@HQV$)l$fus9e!Nm}z(aX-~qgai@SY!n~ z)!C`z2Cw-?nM7!xtLsZeID*D?ni{V?Mt%FU_F!ym?0G3QWd?l?Y<|A5+*0j3G3cn!71iV@*5{_RvP#NafY>wUhe_tt#5$hBUe1P4m|H z?Po#Wttb&R{#RVgGDnoWv4!V0RM-l%Xb)3?ldT%Qckdow^vNot_szau*eC5tQIk%A zsVfV;kf3}02EC)vsk|}fY{k(Hn)K01yV?>>K_Uzcax!bPG&9 zi|`X;@0_-hBQcHbJf#XqgdkNWIpZ1~CJM=vF?}O7qS=noEIO)8wILzK5*=; zA76FAA#DXNp2R|~w6SG=Lj-m7O!mh+SHFAY>(fL-P4j!-Tm=Qs>7v^y0#lDWzW0!D zR%a99vG|g9^d%XW;GoN-_dQVtN-e{joE-m$Y{B}DSx$GAv4pd@G#qE(auhulm!*^O z`vTh4#l@svs>VYxS%ELf{Q6~ooaF7>!shYBGX^ym+l3iIV76zyI#ze8=UC&+B=+N` zhxDn5V-IZ&VA9Q@5`EP!csym4_2{Xqrn!3zN`<|xMH*zk;+7(dQr%}e)9+uVxlgbC z?zWDNee%ZKeRrMpPLf1J7AvBzyPnr;n@4V|YPdMN8HfTEa76SoWA+T_*QOMg>9O59 zHu5<-VwWjil`Yxu2_eBf%{%c`)$rxxk7FYsGoQhwEhtaBeUq^0iL}j{u@FljM`_b5 zGX4@l9i$~Usvw?E6L&P%>F9;*e;7DwJ}vAWS@n1fHUK#+2S3~vpN?7=(_yQ#D+Lwb zX)J4fA7pCGAl}0k6TE47oBIC8YeQP^Z9b@T?fJ+yWOwc-w@;Jr2Sk=eL8w)=NbTd5 zMfhe9;~xcnD*tqGoNZK;0~+n5_+O4L`S7wx5HMS&HJww}Wb)0Jc+(HLOi?*{DiF0& zIr}4eh0kB-puvrAbgpR^=%3-?Zx?6? zU!YdDDDzk~t5sVqpSQ^M!t-A`>nBh52{!!xy2kth_rv~w>P7Q+>%avNQwFfyYP~Z9etZ^^`rE74SqT+cb3rs;4Y-owk!W|0m_?;^`8IQvieiPv)4oe7KZ+6ZySL@a@i6SeKA z%di)O-q}A06o$3@X$!3>cUh4V|q5i*?bJa zwha$=l1!xH%Zw^mq6-&E8xNmPcai=B=knBbt)DU{wA{|A*@2^2+ljuD5G12-J@|2c z6k(mdP}W1J2*1fdnSpA|VRXXJlv!a*N=hm`czE*5c%xF3KAHA$OSsCnX2XtTW-JO@ zEnO%q0=0hpg`rTQI@gM|ot>a(Xr0;1hVe{g!zkQ>+ijGG@pTkqW=MEHB}cEOo#D$n zWZ+|{8*Wsfpov8hdYE9vA@|@x#KM6wuODw6Zck?C?KC+A0*sn9p|^$239=q$%=i=_ z#nM_bm{DG0wl+4qK^CZdOpn!A3@e^YUC@{?k9ogV{7^a)DLx@Wn~YBP=z4`tk2Zy# zSH6(-wqWLd+C%#8AVSutO*x7oL}_FLCa57leZ8h~p3q~Yn5EohV%k#79Cg>=YCs90o$Q&vYGDOxvH7}Ec+rO!gR>G zM^imC__=-OxB?F<>r|g>@hP25qzAP@U6JS3Qo;1XK=}h0S+Uw9ET1~86b>&LfV&pH zo>+(Lp6;fJY6{#t)9aXzXHH-?-`!laI1(0`u$jt@&^CmwbQ~0|>h2ta7EH&mqMacE z6zPa0W!z?;jm7BW{*q@O%F-&ALX3T@KVR+s`sl%$$ES|QNa22!W*W1-GRr>8JhQsM z@CKN2*bbDvALrOWu9}Cr*XMhzj)gb$+d?^jJh@Fg$7Z5ai<1Rc^cAX;@L62n+B`09 zoF|h!oA@F5_bzAjF1>f?ACU=`Qp>jZf(SC`p-Gy77JYZ>*Yw&tyM`GEyv<`{uACQm zRB${$Gsp|@6QE6wipcN{L?s3fsVfee|OleFhLrMw=p;vA*BvI}-N*7Bh+S}Vd znz|Si^oFv5$=t%2FQdy^aVH*rG8*@Q1rr?;QN2|C)3^ z!oos+;#$M?G}|)U-U9gYcBPEql;)mh++1G=Q#ML!XnE@sOi(!;b5MAs@RavrF#$|W z1oLojZ@_xEOHG*8cI!7ZajKxTe{e8lw)<(X;k9}KtSjDjSn;EOR=CcubZ&(=2DRJ3 z?c{60VXF=#FAxLK&xR}Ua5jBKnWJ%Ay2Wp`&dFj?$KIjqhWJ)yix}a-d8KXoC6n!!p$)Q9UZz)%MSK z4LqcN5TaoQxiDOnTqp~Q2nU#maq~sXRm;a7maY`r5N;g`Bgyy154XZpA2s&+g(QC; zDz#6Z3Dha=4{4X#rGRS~yL=CWzpoQQaGrKv=r7f{N#FJ7&zs@BDRHoCL<4L(Zv+e< zY?h`reTj~~~IT z38KHxYLM}H&sF2s(T0(=Ct19%!pr$)dWIO4Wy z&dJhV$NteL206}nF5~@RzU0q|llePyt+lAl;ct^Qj`Xbr?@#S-kB2F8@n%~L>34Dc zZrz3Z0WNx=$nwi$Cy%%C72B=g;Yl?euJi`m_LccFF-9t;TQ4%AGek#=8$LJmR6Vbn zN&5m?`oaG0YR`IXBTQ<~G2QxjiWvIvUf%OVpAJPo5XqB${_+U>RU*VbIB1L%w#)D} z;aHyUIqKRrZpN=^jQ-Y;z36S0kH=qO@!3xK9+dWz5P`0H$k)d#(1KgWZ=u?@E2xQ; z#Gkh*WtA@Q$y;;0tvZ;m5^Tajznl|4IqOV0PwBC{SgIH{T;}-6q*H~;Zw=qd*h+yV z7Q^n4=xUr9#bp01JeAQQmyQs{7#IU5<>%{gPDIk-nVnjkMO%2P;P`OuUZ0cs!b3b5 zqpRX>!R@`>sYQR$rB?sD8vFBZ#@D@g-knZO4(sWZ=dlJIdH+qAlt-On*=zZTjL&*( zV`+Ip2!*qXUHLF(zn)3 z7~%)E{Uwxf>2X;&RZben%#`dUJ4c8NGS=xw4_C>Qo*b(>kUjCWJ?EZCTqN#Q$mUqB zZrZzw_dgc*BH89l-}eWG0T*!nua!uXeYVuf?>aKr6WI zw(vdjIpREoj3RMns8$;-rwf8c6>mTWU`uZQR9Hv@?=Yc87YCE1vFd6$5F{@{NWsX+ zh~$8Qk)~@T!~yep$41O4GKV@3@v?L5kqo3?_5R?W?Mr~&*gQDbhMChC>%$)NmDXcbXJsf7(7g; zG6u=X4kKA!zkcm;uqQ!r;+WpohcCg-5ZUg#Rr5btfF{j88&_*l?M$7TF1Z}Nv*48Z zlT>&xyEwVHOrgVcnnc+46$L2cavQNG)Xmyk`8<=84DO~54(Fi(zNj;+&eF}!a~xNi z%G9TZsolx!F5Z=bus+AxP7WMsUwYXWZMwf!+hFl}Q86g2A6!3=-lSfVLvsAJT#v2T zSEFy2qA4#~6%DYHOdFi6F^|x1a~jgEGP!>0+h8aGz851OYS%e z`rgKzE@XAe3Jn9 zb8v|xU=Pe=Z$Wo5v9z>A-4Gh)bH4E!Mp#znu$txM#6O>tQP(y|Asu@jEHph+(Aj2P z7+{u8y6YfO&T+g&1BI6dIIPxGRE_W1D0EchWvnYl1?gK!Vb;G`w!%1MQj8==fedbl z^SGdqBy~hlYX%HoQtRbn>_x45;IhiVinz?gRNL6dpDcjFUG6Wnr<>H2u;sp_53NMd zujL(1n? zgXWg4PCsa`!C_%FRyR{KGEN$l*j{k3f#;;uyG_DMGAZ2l15{#c;`Rn>O>sApGzG+H2DVRiYgn%{1HsYLckvf@WbJe)X zH5_Qha%}IvJW7gLR3x0uy3gt(`t!Q|)$`v03O&CZOn8g7A1HeX-R_Z0oh-{%8?gl8 z$5-NweyxqOFL7pX8#w23JZ~L5cjRzyQFi?G#7W8)-?MU{$%3}vnp)~ZgJ1t{27hcFFKvsA2f=UQP5CPA1{Y}m*~ITeh?MsD)ZY%vIONm~)q?e7uk{ndiGljCQ1P3Lg2(^w39{(D`XpHs$RjA6Mo5mr6pG#RJsIs{ z*Os4WYgM}HI%=;9#kH0iLFP2hc7)sKhul~5DbGnFI_>wY@6hlI+3PV3bjq*7t2*@p z>1Gwm;kk$CkSjiGHQc~AE!%hJv>yh;!y`F*M`JT|PDwa3VS?$mww3ATYV_e=(DmdoKJVVoRveclbmc%xdeflFPMJ^zVCtP9XJaCm_Z%` zc}6+yK9787SMlD2FRf5#tqq6JL6Te=$}E7Ehaw7*&VYGa&}nOx|M0Qr`^eF|mZ)-z z`*Z1OX{tKift1Sl`=HywWsE=fpWp{IcISHv;s(|b{FDqrRzNm`FWabQr?}rL*ZSO~ zVl!@I>=$y)^;01$54LI2CNR~%`Ca5>)MFI25J#N;Q!$-DwDKAb;mrM1Mkn(_fqF&_ zj8rdKSSAL+g}71P()}xiBq{DMf)?J^xQhPkh?MF84K;&ApWg*dw#uFNLVXvW>AST(*THix? zBb7Ulr^XsrOSY<8uX|QVGDV+9FfjF9(_;pimp{}C;#4^Y#D)aRD;yPl6xBE>CnHUZ zQTIGCvF-3kJr|ZzT~Op&CyNZpe#p<3sII?p9F`$(I;-W=-{6$*pZR4Kv=Z#%fvc|LC#^_Kb+S_t-JvVB5C6bP3 z2tfCux~|)wOZ4lzdAoz}GxY37WGnILvOuXw-hgMXB|Ea`bPns)RFAoS50b%(zrkpm`)ZT0&b)uHVV{?dYSf1)1)+syYc|}49ra|{7TuTvDar~Z;zHhmq-4OrH^D4(- zQY5#lGhfzB%G*vjNlZAEXW=71%%q>>Rr+)RgRjhW%#WZ#fV=`X7-DIC8bB>PF6@mL z_{i;!KlAqJ1K*9%piKykiI#)b82a?wPL?Zurz2=a7d|H}9!{%>#I^h0EL`osTR~xS zQJ;q@ojx#^abrCd3$A)5J+T0tGvuY#Q1?Whtkqk}_!2I!-^1;jmc1B%-P#6sjgr|A z(wSTO=dKFAA)TSRN z@=7adLAm)>BFH?5$w<#0^p{GRRVi>fTc3az@zV32z){_-1Chw~Y5G`D&L|wZ&9DAc zXDB=wMXT6$``5)FXmzK;Ba4HBAm``VwnaJLt3mVYyIhSc9tWz`gLCtvbv}qOUAWwJ z6$1tc3=hZOrnjn`H3P;BA)f`o-oAvO@8lbu6-%=FG^gu}fIKVaVkxy_2O-F4cz#l` zAw7~V@%u;o%t0B-F(0ds$DuAV7K~@zOWw=E&9&k7%AhYV(#K2a%*vGO7;Z3q%EQm; z`tTBewhJTh=nx}c#P!I_e%<33xZ!o6jvD`VMZW%r9$)p=(~~>A**XA(A9qX{RHi zcy+V>_y4i>QBDNm^Z(@?h^Q}#&Kc0&Fr#$@cpYq?s$3M~L!s!0b2~Fku z2uppv^y+^*?(>)b=R5!RgIXv3e>!zMDZjEm$(_&!-6PZzd!5Q{b&~;}=2+4~$2WdQ zllHBlV8d#sbumfZjZ?kO5JD{2;|{zlmY@g^o+tg$JCcb?kV;O`G--af**U*KETOm& zNAbXyEVc|pa0u58;$h7NzKL23Fy|tuw|7&P9MiRVpqG#Xc8MIBQmvD?xm!e# zLzn;3d-aU>ZI)Wxv@mYpa_p+0s3U<6t(G@#GN`GU-@ZtZ+P+M;hihMM$TT@m=1`Q8 zF-MT5J42rK`zah#-HU_dOT_3JczU_+&= z4LRxr%BXeFsC@ELv|C#&Ys~Ub1*TrcV)CT=gN(!@O@=V&)!uiNsGEd8ohnbo9^cTB z9;fD$7h~*>pWJcenbd6;4uq#CXp$7AZkb{zp-VK*7H#=W2(tWw3Gsu5EOvLPn7P$J zu-zvAp>>j{u1LE7lq;{$;pShJyNC zS1jSS++=_daq@=gzC>TkV-dg*erCS`#^5ghrR>WwEt6Rl&X+v$>zxNX>=Zs}!5zPq z_!BKUnxM*gA*fE1o7Qq%w2H#X4Pr;+mvAGoy2FIeIixsgof(8Do%c`Q&UFwkLJBZZodl$< z%i?l~60FSY@N9)B^$eOzK^8-*MFI8XcJy=5jwrI#+!Q})rD^jxVt;+5GxF`iw6(Tg zO;nFrhvw;UizyH-k@z!<=Gl%HGZ1utvLOTNAw>m|c)uE;XfTjGAmxrHfEWLLVPj%5Qw{Nx7xmitClQ7)yCy`QkAq*fS<;Q#+1O z?$DFx+p6NpL4A5vwTgu))Lur>@-~eG$~xcoeXGUX zjDdMx0^1dAeg!1c$D;ST;q57b2H)aMZEa|ma7uBipO?H6TPCmHxN)sHh3war1>b5D zh-iMrP?vn5OPI77E!Kp2GfxvvdyRl_vXaKB-%K9avjbhA!q}z8R;*^yDU+u1tSUob z;+4m6rN`{-Raa4DrDq~a;1;exttQ_c8EO4ta~bT6V<0opJoSpR16R{U63B<9GEm@q(N|{zHXZOiE%2Mw+lp(d53$MAgnQ!B-2b zZ4w3ZokUqs2i)Hj0aOF1A>|S;nJ_rS>%#`SsV4~ob{*!%UgB+Doaj{2J893fQL6LS zf@3+l>fukVz>cyj{WeO|0_}pt^i&(L3TAhmiD^;P@r_eGL3(MHx4OkBb?N>p@Jr$2 zS(b7Lc=&+KV2T;E<5G|PjjGvf-ckXOhsy65w1Ux{+i|L97z|Q`vBFRgGz7(4;8^{z zE6r|g(S~PMiaBOM)VN1ec?weEN+NMOZ{&5S5-sEb%~%PrfVrih-`oZUbS*_#&kkAR ze~hje-mMJv%1Qe#$}=Szr%L*wh7tb-c#xu`goVJRvtVy)8M1pBQ4*U+=aKwB^zJ&v z5x`>wkOvKYGF*DT9}qs*SEkYp$7Y+NI5=X^$~#CZzoXxqYY}j3>(+398+mjbfhof2 zA!Q;vvQwb7ijITM)WXa{m#G5u7T~44y;B<Q-CI9?zi|j>A_KT21L8+1*0r6b5cJ*~P!(%=^P$0Ps=CPkY ze|Bhh!`eRo5k~5Ar-@Aq*P3uyaS!$GLU#j!;ty%Qk!AP8wOrUV?C8TiED9gNdG$KJ zXZJ7Y<%b=K6>~G+(j_)VFzSn*X5)xNq@NE^cwES;EP~)K|4i$L*jV$BDxgsS2V|@+ zSu>Z*m{0n|V=f%b*3!Hd;d^W7WVISx@#E#8uKdj933aW^{=J-U3eU*(%M+@;V(~H1 zYYZ=g4+uN(kj6SNZFzqX(on#PHRCJ`=}}mHF(SCMirev#=xoxdLpz7}iWx_!en(9H zLauDwwLo=B37v+If#%pGh3#zheBd6jS~gyBYxDSOyN^{I{OmE1;cLbF1mW%Xl_Z(#1Nr{wz^B`L$XqbjIZGkMM>5O7$G;KY{?;s$4Y=y>c>7h&aW%|w;p{(^{F4&z-_Wj9MFOt`;nW{ww ztAxf}zT;Xe`7WXH$b&l(pHH7YOW?Dj_;Dd-i{UFsyzw^|{9Ui!P9lGG=MLkY0qSWF z^bHiuV;)^+32RV{@GXkrOq08FZ3&zm3v`{Ca7P;JVmhVGlW~y-iH*UC&5xSgHy6H} zT3RXr2PF2}cfsS*Uw`JdKFiuxVL3xu#U_SZ@KgtJQW|6!yk~5eW9j&$NO%<+FdfB4 ztgxvJx-fq#Y4~XYnXC;#K1lgiKIv#*Vcw-lL`5v#lTmA7g5h@V9qt!!HdC=^ zF~Mu%f+VnKkc%sYf2xbt_UOQ?uD zk~IB8g_g-j(|8 zW3E}E?x`}{03)j2`EGI2W9iPSV!kDGr&*?`a-32X1M(}yvrl50LOj5Mh3j1qiX*51 zZW*UP`^HJ4Qm_s;R0I!9C)Q)qv-n>Bdv8>d{3Hh8oI107&eoRAEDmDy*!%*KpW?;| zEwS}9sR9Wdo{qC(_hSi(z)I;hj~27ezx$|RMo=GLw#vA?DikYa=>HOXSmMNAtoO-93ByRo( z!HjMuzB;;KzFB}qmD_#+X3uhHK50Jorp8$2BF{qA;nA0@-!FaGZ6~tS#FjfBGnd`E z`tJ0|`^5{AKdvaW?qeACXw*aP z8cDOdrU)()zsN-B>kF*9)VqX&ztVIPn=u&lfS{19S9ve$L%{vH}x z&{q6h!&B?iY_q&ReBJx{{(l*xVjH@8roywImu@fphaeK*DVHNW3Z)}g5W2T&Pj?v7 zD|-*#3-vESbpQ7KA4FtFdxl&KI~(+Sd#Y2sHAxI|D_Hy{1IE)+*ep3`8QM_7vod9| z3g-OrRPHlE8dbHcj16(p&tMn=gNtHVkj0thw<9q7%CDOZ1-b4sxYgfwoNT`65c^(3i)`|LlM2#_8lwQ|~1j<|{I%h{IlZPJwL$&yCz(Zn4bKV(AjSe_?B3*HGJ{L1oXkkgk)a1kHw6^3b$|34IcK>vA_{U zO}wS;f=;bcFP<{nbf`GLIGj%VmnW_eKk$$LF|oB>I&uT@g3_LwSMls^lk;C(Dld&| zK5Au*`U%_l>5NQ5avbQP@L2InLAq_Q32IU;Achj?q0%8tHZNQwGsigQgcXPfbbUbA z;nvTsU%q^KWC9K8>|i*k!y3+IsW@H=dzlE%Dy`?{LMCrJV~A25LG+}W9KSbqo$>xL zc`~0va?Zo2n@vM3{IVu0PC<{SqAI-AGC#!WOzCnM|I?X}9)N^$F9zL&l&bRj?iQ{mPd`{-EHgTGYMJ5<%+fcehtM_Ay=NNiunju0n?s7o3GShL5 zNy6zkxOr0#CH~<-SCgv}8{k2c&{%_-2@nt%o$2^AgK)V}SC@-j-^rZk`Ebke6;Yf! z@CWRHy4t00{4$lB4eKH)K(@0TbqgAJ6FY8ZcV%<2|CZ0*tvfFp`QlX1;64kfk3)0* zu$%jke{8BPVSBURUZMeNDj?T)s-5M40f}}V23iUrge!o3)}j!B`-wBlfA{*QNeqZ{ z*zc`TFmIEd?|yLRm(JtbkaV7+05A22G6l?uz#lvU2njjLY3>B$#H4kPOl$d66mI); zGl>*|P|ox^4OpFU;Mnq8H331X+;Yk64fETp<7M8vVi}9->Dr_ddS4bl!nqQp*yPA# zbZ+M?8F-q$a0MJmhS)YlyWUr|Ez-bT-jUu7SUA8iq&!w$jDym;G8toh*IA?HSq30P z-U9#l27r6ie*`gmFiT@-|DMEz#(eNetg5nvHV>4CL98o9mu?snhw08faCN-H#9U%QxXFQ{h~820de9?jUprEu1S9l4D}H|1XOJR z+zsw=YOCk=imBO@TE=fxZwsN+y}4{`tTL*iQpRKDBQI$-15jA;3$&k~pW{N0uo?7G zh~fJ|BFpDw_cTQT1RT>J+lK9nZg~qRYLPB$<<1nN*}vXq3s{|2o0H0ql9ol$*3>`? zj;t%gss|v4YM{Wf%;Ym$J;7qun#!>pxaxERmO!QhScJ_(klWuz zCXbbS1jy417DLOGyHu-ujz@^$1+0o%+i<$q!TDPSW`3K9?i(onpDaM~VQ_03g|IOZ zUqNm3kL>dE!Aig^uk9? zUTs@v-W(-e;wRyBvEFQZ@J5*PTi2&d#%=+r=MeG@A#(gQky*+kZ>p6~jM+t_%yH%y z>rZxj0r1HX!RVZ8dcCcDiVJ_6QF&-+IdVx`fVGhzA9Rv_Fq%cyC%DG%+$ZV2pQet@B2nw>b2#rcr($n4>sed|_4O-fg=Gh-AbUEqK7YCU-fcj~=rb`~TSh3CEO zwW`nGyvplpC&k>bF;5^rc9O^8VH>*;<5ao}!t6WnEpg$Rv;hhZKyJN^84&p(u=fb7 zokvG;!g2cNmFn*)9k6~qZUW4gGRps{nc2~E%u?qKop~Hr_2o(Q=fU1ictcodXsSo) zeVwXp$Ktl4s0|GCFgI%$UdGVIPB(N>Kdt@;?663Akk!b`tBqNo5hI~39APXB46i0L z)5pAjTW$OjUHZ|MAg;pv9HKZsC+fW$yvIa}!usMobyWcgxkynt;c^b1vv z_u2Nd5`v-+QkVf%<45m}jC?d%;o!KSs1=z!oI= z$qwGM!4P=-n3J2thuiL<&bU9D@8EBzhsqq~uU~x=-^3|SGg4FBqjVMIhS$JwBpC5QBb3$1u)#3Wh zaO#+FYQvZ_t&C^hD#YoZX(7^|p#?B_HXJ2nTv-cedkeL&tE7H795wR;Hc(e#mX(nS zg{%(^jPZ*WBUOe2;F^XjN!!!TMFk$b6=x{tYoDQ0nb&By?!s_b(Atvh@b1Ami3O*OtFevd^9#L& zGyblPxqj@eFvj)jH{-N%AaXx{PY0~exi6f_y65tp&7p!oWEl`nFR)mnZ+cE_K`8dS zKYSN_{A9KOHG_OTYVXGu+hj4Km2ZkeRIri&P2|xO5M%`}(2UQjUp}Nyy6v9JJ|AU< z>in=q`j%^i^>vrUHJmIa%6tf*D}V;3u(N(-FIbX$_O%i`G#rSB-VLr9yi*)k&fR2S z6r?5j{5k@6z^hlUQPHA>0H@yO2f%kas;-e!?LdhWl$o+84{EP0i-!g3Z`^$u{@T~c zQF%6U#}RFeQQ>UP#-k7fd6_aDwO}REX1e1VQa%c`Lpr8*02CX!-3#!gt(NC`c z!TtC?ner}sIt8R_N0VG=HkzF9cT?V7?J&TW!Re5nrMf?!<$_O=eJ*&vQVc20UXHqU z?b?SoR-zku+Vp|@C|-F-#_ncR8WWOlDhlblcUtV=0e5lWyl$wL*MrKyR)e;(mjZ-0 z*^k#MF1fxQ?#@qUi2k9O=33bi8`Hj~4XN9L(U}?U3&iju{HIT!E4f}MFR?9+YjLgZ(lk4l#Z0Ff z+Z{yt#L{vk-hrnc2W_>N>2Fr=yEka%zsfuo+b}x0)Zexsy5_{j+{{Hhi|knrn3Gbh zCxqyog9Jh)6cAASl?x7uw~#jno!_5ubgu@{E_^56u2WJ-*LLbz5vEKt?LRBf$o@qo zKW{g3%f5H@;p@~)EiBnR55>jB+c|Tct~`u3@`X8S{)H_MshPYsba4-omkPxbNbQkt zEU$;~sbaaJhq1`Sy^(|c>-dF|+ZzH}&SD?4xEU@G_M)NwyvZ1XvD0CKcXgWaE#Ds_N^~Py|y4hGYRn+(wsW3=|FcGIG=vaV-MjotA^VHvZ=>` zaljOj!{U+|c!*-+;?B6%rsmyRP6r5DHf7JUD|nFmi5p)w;dxk}M7 zm;Ge^$VN_B*q7WuwKYuz4wyh2h$9TxMo)rxGncSY@E?u`Du-po#YAHH903?qfL2w| z%|AY=?7@o(aI{Ky{x9$ZIDjZouR;TUbi=rhrHrQVQ@NHK#@Z%F*5T67D2}=(LoawV z#iu5x(cZ|Xo-z?D@!_rgot>{Nrx(x~7Lnd2r+$?iT8W8Yn^pU9S+se~CY(v<(-an_ z7bL?O`2vcm^=J-|L6RXykE92}j#bS`zx(>mK%x@WR!0SafTm=PgCMx0K$ z`WpH73gCAq8&nVpkZGA3<0+wzI%~wj7m&)GS_X7bC2D;u!ufgA2Ik@hIJesLus|&; zDmvdqS*dSeU{HtY9~j{O21YV(oyARtjz52NfUrQr4smb`;%iBek#N(E*|^6zF8IL~p^2LHA zHm$Z9I@5VqQsFy*ND3q(A4~li7zn;F@6XoS4D|3~0?TRV{63zeY1sXRQwW=-z7_GG+7L&;LjoV*r4WXV7 zs1fX;L5mx;d&eOiD%3Br)RX^uTv1C$zEULS3Zcw~DM9QRFB%7xb0BKzKQ`uxU&q(} z-I!NlCgBbMlEix`BH11mTkAHB=jid#h%a(%%rf}w2LRRsyH18T+-9PJ{Orq_%2AdW zRJanb2uk>4%o;Nrn|)l_}F@%oxP$D zlud6_2+VKC%ZcRxlwpsi;UT<@a=S{}O5~?aB*f8GmbiAj7hpSy7Aht8g`SsiPecQ< z!=VJsw-vZXoQ}F<@vy!=TdDG?OFn;@2qI7STgtlTav0Jbd3hLl!OO*GS)d@Hw&KhK z-NLoXq_B3}`WCFyZqgK@L*8JMdy`B7h_Im12aLM-XCWR+8{PQuC=l)G=?M>509uVR z;sturfxDk?fJv|g2LnsbJm9h%Q_zb%EVVOI>u~@S)Pl<&8WM@BqCsWX;vg=36eeAt zzCJ2EA!9tt^$E8A2>^n!*ltj=%rG~+K?nx0S&muXr3mF%cc5Zw0TS=4aOH!bN7Fbg zAzg7RmG9a#7ua`_7?m4O^!uu={IvttFN zaSuYAvJ?vfA7j-db3qVNx!#y@dxC`VygFhbijv*!ZC9Y-0htykjC>Pn4ybr)c#r22 zfkglOhZXVJ?H@L4maU_w(0$-r^|uSF5FrNflVtDBIFl9L4N-&{iuAWL&1!|c!BA-6 z>Cp#k}qm!uKEsXum-%0Ku1#*WwaLSg&I4r_lLj26yAIkhsq zlWUL!e&m{Tg%E2_agvJZyqZ#RNy!+Y>Oge*;A)QF_l2YHy>~YQFhClQd1vUfjwVp2PT+e@h5k`Z)Zg|J~DfxaeuJu0M4 z)k0OFzY~a7D1YoY^8JX})THywwef4fTp7D)LnWjUc+aaQR_$nPEsk^_uM6=ocB0Z_ z-2n$WIu$in_v8zVsZ@K13yJ7=>|Nf|RdKE?gI!ze>rDf#->);(u%?OLzs$-W{O}Ib zg$noL0uII|(?@S$hpo|5DmI?x@{HdY^S@CjHTvDTduitAF{P`g174bziMD!gti$Tb zeeelt_nyX-j;^Jvh2@nSgB$w_s=sueY?KbQeBG*C-tuh7kbNdG>j1<9%i(qd>#3!p zpXuUK9o|Qi|NhC~cfY`R0$c3nibjDMa?+S*@!{#>n{v6uO2yxv0m!?rZ5 zYe}VpLwSA1#;`M!sPyu)(m~5v^y1%n>l{YAvLk>E7XGP#d#`0^U>hG}+)NyDB^YtG z+myCx(vlmf1m(}ANC)w`&ZijP$PG4sjjMJwn298c+LdXaFJjP`uJT!3ur4?^VO-!v zbWX7ePPRWBuCjPW-2mlFKS;XH;dZ1F+y*fvtV56S&kqjpPud#?zU?>Uy3kJEc%nAa zH~bJ)IQ^^GBIH0mR1|v5SJhXC*74=r<@liKBWIS+c)(csPL&gop&(e8GoEf z%#(Il+1)>N{3xfuqB{f0AAz(QscaRvE{*Ds)sQ=0LvBw}Y>alMu?*N}IImBW#k+3F z(%T2s_Pb0ru!Ze5u+dIW<4^1e(A!t-i$QS_y&U9Q_=szw@gh-tF)h7I-#lN*ZL8ffLZrn{0(HSb9~GgNvD4h|m4 z%FY!zin435NG~oayF{|4HkSs^Du-Ve5qV{kTV8+4BgzKcqyDBffGffp%scy_93SYv zC*duUl7ZRS%D%MX;-ZVaw%zZ$Z&$nXRvOrxvB|R`2r}JrAS>WE2c=2h*jx0o`ESk~ zRZjC=AE?QVyW;Wfu8oLz0QhdBAhBuccKzx+hPG?zQvcd4nnd5wB2*O&HLm!(XX8c7 z=CZPbA&#FL6hsFQRIi}^O@=yygHqn2`cCtna%V13a!<&e&x0|_cDQoz6hpKPukJY()29)k0P8;o;|CO&s+I9lT)yf4|JQCM~Hf?}Ow4_pEcIBSHG*$LMu{R9^)NRraKDD2L||ccMGz zBzj-R82iveN&*VIRx8pO9Y5soIh8tsY#CpLP*Xxtg@(&`ls>9@VSyjeH^ItnGmlb? zn%t0f=Hp$jN+hylTno3>%om%GAP<)Y<{?*YFEDgkbHQRQMYB;S_j?K%%6mf>Dj@gZb^+y>?k;PK>vu)I*f$Ov9ko2gu$DBNiNO+le@-t&cP`+>&5 z`>L9#a{|T-+BSEWp%j(<-rk?tj68S(%MF)s!N%C*u`?Xgw44}&n3;>mkHG!E9IJo) z6F>K|>cH{lYwu4fXt{iytZj_3G4ejNsXy#jUawr+(!bRW`+Q08#5}k*?BBh^plMfR zsNP!KHPR9gP2>Db^u@Duy^R-*0nzaL`{h3gay8nooAn~IyYFC=y1P5|z=^(B$ha|4 ze@J_l{KWM~unRXG7=}wXQ^S`6Fj3Hzt&Bds}@g8t5K{lM=+rOVf8D+6@x7 zt<%q?Pd_uvPAvLO6gN##0 zR4LL<3fq4R-r1+#H;HCk^|jk`h1&#A-SBWl*?jYY<;V|)Cs(Qx)XlBh$J&ken~KZ@ z2IZrcSIoFNZc9rq_7JI`T<=i%$)mbnBG{2FUI}%9*e>f1=Ifk$GY}h(lq=DD8j$Kg ze>i6}ez?evG5(8#Lvw} zH=)E@pi~(ReUZuavd7o6kS#ecv#4$rX=f+uoMc*vYd2>z~zDZYg2xhVm z*%x>>WyJdEiw6SYrzeT5?+SEwC&Wf3^;(`?|HC_?i5={^+2;7JRPX%9r0A?Hs2-d< z_bW5{^V1eHUnkep0$tacsa~sE#$26th$&w2tRYIgBiOauMA1Iey;AaI7E0M2 z$0(sMbDdt+$$o$0;Mj9-u>c#cj@C&L^Pu+mMUkvR>;{O#key-tDJP%GU$P$dI{{#GUh}j;}-? z=EUDn5N~8;K;R&doGq`b3xRd`VeVx!#?M}*FfF)9!2RXFCdX2Cqx&l1^fc^?e>tLCap8X4KtHU^;Ui%Y$VtTKclKj z#wkVBZX{7+P|oFFk4EMELN*Ppc>QroFubil^eeR6iSg5nvhD40U!~<3qZ}ptX!);# zc`V~<%Hk$&vDTXHyVDlo)zz!#Pkp_g zQ#mJ4#FE>ebRH#B4!^3bU4vXyU5}1wS>CD{UyYs9jJALrEaS^dEkLa(ncV%ExY=_gCf!QhntuR!%6h49E8p0#Y<6#9^-zFlGZC~EQ7da zRifb13nyg>y|k|qGY_gtVg8B3z{F-A-MY6}D>^JuI4M70C_xK;ePKhJ>H2i287`Aw zQ@0;RC^Zgj#{3hKP;3LjNrgFK^(iW7?-}18o!*$&K?E0{tcO;fpDOjc@*5L=2hP87 ztqM{b!~fyV$E8<};@`Mp8bJF9J93d{ctNSkD%}L{g8!_t!9Fi!Le5dU>swbediTuB zf@8RNhT&n^HZWw0Su_dcn%xVNs3qqyd9&G2OnVVx;{d&c&k|yPUEGbRTHCKr9{0-o zoVh?q%9bf06j?AoKr<8F|9;L)q<43yYCm0zr$1?Gy}CR4Zdu}Vt;mT>sPLEF*D`zs zX&zlD?sdCs7Ae}nY@U)UR{aeL=c7@Q0KtT;?s=@c9sV(R2^UQ)HrHmL{FFZ&FE`*g zHiHco!K`^>%(eT=D-uy@Sn`l)iK$y4hdm++Jy0raB2s68G)D*1`HIzc{k;F@WXRDN zYkzdGGz{xgHJ}HDFC~q)kiKD%i71@;{NKpvEaTv~b;sf%?42r^0g_=+y@M-UC=2V0 zd-4^GyO;zSH$>GiLCvI;=$=IH?w>Jw5j)}$hIUO*j+$SJ%o3_7P|hoCM7pGDd;XY} zbHjhm94^9?iWwu;-@PG!#Rp`S`7-BhT`rgTcK-4#u^2~iS(osHPi(kvs_5aALlldv zMaD%XmJ!dV9Xnn7#D{f$P95nTh0~iZAJsJ%#7%1Lg`x)*uPowM`pom(&t}m66-@hk z@~~3}k|eTKjo7ydQgcE3U^|oow-h<%<@B=C%;!VtM$Do_dW-HAbjsb$sJW8<4c3qr z>F?e*?lLo|(wu$rKmYfA<A!#Y zL{tKn^Z)oF__UYyRB)32^V`W^QQEmwdi;A;)5bK9-IV9S-`%ZiHvWEFP4ku2@mF^r zn_BoFC6o3|2G9P-mrRBGwY0M(c`A}~YBbA9RW?qAIHg|A8z$8Ub2-v84FC1|(wp*j zgclu^+yX&uSFi8?q`v1eQn5-rGXDkFOAy>&Jn$X*5|XPZCz4!0-YUocKaa9+$Zh!7 zpOr{k!u$YV#ZR{XuT!|4mGs}|rJBg5v&Q(}m-hRW$BuBs{MN-a|DFXPPizaMSyyCC z%sbLYjAW~sM*YvPSADAYKMwtTU2*tKUY`BV)>!CYUyhONveM;Zy8pZ@)a4S$?$5!b zJ6TEZjHsxn&uaE?s{QVz3*ph4glE}kn zpUhL8{g4ntHA1UOQ%eO;LnOpKT&)6ieoa;3WOeB@$cHcO*(i9U`CzNw&@1$%y809i zm6;h=Y<~XRj8rwH)CekA7TxS?1g?VX~f)tjv z_Wmp^Xqbpn8UC_t)B9*DYJ10nu37aEchQ;2o0K7YdkmBh6Z-G$-H=OG2ku9nvH2Q% z3Y8(#x(~sh}I#KI_F~)-pQEd3;NOQU!JwinYOEu@<=tPzYkqvC zCtxU=@c#Q1V?+e`SZkN45C556&?rYew_|!_Dg9hsA=b8p28QHrN=BRN)3*ve@uBdc zh5$oy4#CK~kr72WaAB^A)5Nuw$=*gHzQ;egs}S4Na2OdE%QuW`)*?~#nfb!e5r_4q4uaw(mppowUN3$Xf8|6Eer zZ8z`uhi~Xq;`uq1gP5X4&oP|jJ>4?PRahK)~MGoaof*Do{k=#tE?;m%TT~8W|bY+dt9~O=5sI!r7b+Qh-^IrN~Bx z>!(t3RS*a$2|F{CVm5abG+J9v9gi||=DHRf%Do(Mv|y|_vmupR-kWkISxJL|@~NS|-8nVTD)fi~&Q|C+oGp9_e9UF(%PKs>XE~Ju7(jT1 zRcJLS*@qpjI(#j-#gy{j@pGVp87b5a4O8bPbw2Ko^j0c8Y>@fP%pRY;{;ln_Aj30_ z%L-R+-AAJG^JRTk_;dB&eH~sWT{T^xpi~iezn{?I@Cr*5IgHNFx1IfQ`JIyQ@#!;` zU!zGBx1LePxADV$%tBRH|MrD=6$E<9?60=yCLXgYxK*=WLk**xpr9jg@iWD^gh-+H zkfl+_O3LMeA8j z#ufpA7glHQYBrQ)-?$vMEr~>1cfle5*2~a1o6)-Y>sS0~Ww^_F>9P;2`afPRDQFyy zoh6=UJ!$La-S_nI%;^^(wk8|+^zGZUWECM!*r4CGFQEAR@d%^==IfaT;raQj?A+Gg zBtAo?%aRHwo!H^|5h;9}aRzGF%g+s&uCJ|cWE%(>Q@XUZgQFgJOo)=TpRb8O1x@@4 zwzP4NU#m~o*H|^JDQIr+0Rt^qT8=U#A?)Dm*Z2|C@kim~`=OhAp})4=d_-^MQ97}2 zQ&Wpr^RTj;2PZ~fN8XAGpoMQXUFS5OUJkk}E=g&Y2&XMlsMdDoI7A+^#leFRrM0!c zd>I`jkK_nfzY`!$;B(p2bBuJS%3wzMHIg| zYOtl`vN?LicQ4rF3m%21^J&)iyVgxz)26w$*N~4!8*&?Oii&bTbX(lj=ad>x~q7`5FLp=h`tZ{?sBCs{vt<3AO5+SwBus=;3;Cz5UVi-nj{Qv);EwW_YntS+nvfk z-1NV1{hCgBwZz57)jUvQ_clG{5hVAmy``soyfg*~=2Fp10teSmoy*Rqp?q9(i0cuS zs3wr6A)Kr*a{pc6MFo46?cj^ly8Qtc&7*#9>|B;pik*RB(yKmBmotgWtj{O)Q<(}5Diu)wyqXFOtj7@9j%IF#->+$5BJF3RhK%^ASF37SAhk{Cz%r5}*dK96a^&z1QqO z4K&PRhrJ*Q$I5wRcfp@^V|3FkrlXJ5)DlO^;uADw8NWd~eARXr?&TT5Cql>7Rl;F? zm1TqodtOcJ%UUvSZCA;PFk~5_y?*)Vgp}@MJkjn-(PIY6LyhOo{h439A&{V2V)`UM zAJ!iTs{LyeinX<{h&q(!HnL{C8{nY&9#nm{BX?gdo*$z1YDXbSb}Z z_dsEQ{;zoZIW1k9nk21rW-FW->KU)K5 zs+8P2Q0dGVA7>9=Fg*!{4wO?yH{w*|KQtez#6QPnXIrk1sC>$ghE*RXw4Y{?BL+?u zBu8YgO4+~5fT`1xAfGoDGXB7je{U>|+uutTs{`uy6A6_qP{>kYFbx#pggc*Bb%1;m zeVyVOAVA9>5~SduLfGiN|;O?$q!{^TAqPWps`D)zemhSVRP< zE<`_q=>FBwJr6BVR&KUT`twpFtRAFVZ*m>lcZ$3Oofs@6Tuc6EMi{5YIZ-(O$ zyg>?320rGc5&E^d6i~~`%Kj+V`6(7_x7~ECz^Xo7sa)-Ca=M1}YZY0>hfp#mr$b_C zhQtOb=+DB;Ls3oBx2cVtZLb}%L+3y?+0e-5PO{ckE@W+)W>D5C1>JB8EqgnUn10{D(wP}5^KtxqjoWbC7O7I73}w1hA5 zP$~b}q%JXNnLq) z>je8w9eq15r>7_>`4q91;;A_2ei~!3Y zp=fwT1NGkvbp`8XxfxNoEI~WlJ<8%<6(PqWSVElS+&39jePAtA${^;CGar_57W{As zzFXuD+ZbvpSuE~fd#HQQ^Ya1d;S*v!8S!(S4AKcZG?R*EEUvyz(4FZFxfmvGtEdRk zJ9+a1pUP>vsIxQ;@grOD36GT-zQQIB?EJ`;1jt%IF#)*){)jUjrl5SJGA$*rCimD$ z(q&FbT0)UlGya7mFXuDf0D``1@g1ubBU}4P*%+Br_ubLaA9; z-4d--I<0=n1&6syh`k!#j7x6WJ3|RGRspCCS{2ii#mhr~SfOr%swoDZ!J949vVOmw z-rEU;g4vP=d8+Og;MZkY#(jTDM@(|>wC zKcCOXA&bpy-;3m+dh-S>3%l;4jP4T)Aq76v{$S=SIuG%S07R(-cGQ^=@%%*x6BNVLP?E?acg zwM6?T4R1dgkJA>+%<60kMIHZgB{uI|W(!uruzAOvRE49O3`cEco=7|NK?S zWhCWgNVu1kuC_(3`u!eYa`~4#T!91q$0C{)Lu~IJBt4~|mk_Co{G7-1iA>ffL7t0wB-n9KKQHKyz5szjo@rLXjzt^-*Z z<dVDdm$ z3=E~z@{F$_HD}Df-7d~EkIv%Fz?wKc%OXPqFcgr1#Y>8rB|;YiDhS?=D-`8A+Jcw9p}d zw)~47oDOixGaAt2Q%bd70RwUK0 zHnV|BM3&Xwslq$uKx4QF8p8;LRC}sXUSBUOA|>T0l>#HeOe0y1g5D3U}UAbkIQj zdcPzH^Z@0aLOj9MF6i8qWE~?6a7*mDW+6Tq#>U2Io%8ZtoY)AeIY>a%gqT$Q0a$DY)UOBy;#XFrWGZ*NsSP1&(?OA5h(h(iPnYiUu66F4sZ>o)XKTlb=wv`9fVjU+1+ny z$Mf^QZcBki?IGx(vBzYgP^igke{R9V4mU6&*<<(^pGjJR!QCOvc+oH$>!=u)1g-O+ z>}$thym!?ntOqE-H~IU#c*`w8-2^}^*h+FdYJUJasI(EOUNJW8+olG&@YMhh9L_Sb2@(Z>VTrBD2r=8Jx16BrQnH{b4w%wWw4?h zXpkwhc%X&r0_6BB|?m6w@#}-I5h4DU4Z6Np@TDSrG_w_>99#!k@XZqJ8Y41 z$`esU=y-$Aba2cS0ONfSa0Hb3?Qs@4Dc~kFEL3EdfTEaV0x7x#M zH?t`GS=;r;#>dmS3N}xuOmCRdfH+$9l{!Mqw*0q~gYUKi^^-S73dHv5C@u=CTe%*( z29UtTB#HBq?Y&`@_d?G>#91Y~0l09J|0R~_?h3LUCJyB_bU9Mg6gn4E;_4o^kR~I1 z9Yd)%>56W^5R$#dm=jQ%OsEVI2vJc{*d`6-H|pL`1$|snJFiPh&+}XHlF-br`h*Ld1UI6(_!)bHCf*qgO9G(0+o2D}SzzPh?rU1gA2Qa|B}$dHgaM z&wmVl>?mjesoHM19Wx8MY%z|FF-S7tvKu_Ms+@g5ucGx!ddB}(T&gx!p%mSi&#jF7yW;O3Km$wmDLEg(3v-Y*j`l5_^ zl_lLX^#){hvQ`dMp#bk$IzvpuT%Lt_kXK1%B|f1jZxHPE5)a8MPNIaOr`dj&n0C^< zG(FmOR7Kq3^~ZkaoAFNq6k>1X{gNwwr%) zMTGrCYH!rczaL#4zJMPcwNy)&;f}KqFmS=$5oNhgfRzB0m@0K#OxN#&lPTCS6p3I_ z&g?8KEN;&5h&L8V%?8dp%@AA$qSE!vK^Xe}{riO>pT=2!^!AyUNoVEkxXOp*7ZL=7 z%oo(=U8JVe&cIvY-aM(Rt4nm95y>4RrwX{=KUN}PXl^OfqkL}LU`#}EihvGwQVbiL zm{=T+5@38*vFR$PB$=kivC$EeXvLHNAVNdY^GdQp(Jm&}x#a_8B{?61GO-vr#Lq#L zyJW9F`|Kh3Ot#nMV|{GvG%VZ+^@EF*IQ4Xg9e75H#zMQ@-<_cV$+p%9;E0qRxCuXJp4(ss@UqmCxh@&GA&7W&p8Vdx(P|285e9^wvPQNDB zO*LS$AuA>I>idxT0{4lc(i;@HM*<7PBA4{UA3ro&D9?Xg1Hma)l-emKaB0JLzOiLM z<7w8P&qu(;ms!)=!n`epf%01klJAu`BkG!cA7)o7@E3ng|Mbg2&TD)npNBg%9rHM7 zKbkLZPDNi8|i)9r%c!;>+UcAnFC z{Q~M9qw(t({j~b8QWSmj4+uG3H*{`2QZML4u_`8hz{M1$sQFkz?Gtl8rl+rdf0>JI zw?8bF{ZJLCOqe`WkXgK!GKV|zMvod4ugT&Ju@gke8PMW6OLG_kl(QbI7hlO{9>hx@ zKFKq?PTJ<}YNJ3-Pz}R`4|~kuyLqu_K8U;+USKmodnWdXI>tc|{~+$4zj~CjFW30R z*WeaUUGKdY{@uf?Yc|=N6qr_bgXwy!N~${F9g&}ig=$d9v%{iXhmNTt)&!P6Uta!} zNA%6gssC71guNGjGedo5pqlRF$1H8O?3{~|HUiigl;>=-8FSdMb ztGTx>&^-ES3Zq~xdP_Cn^qD0j_qWFHbq$W-&2BWPSTyaVdn6r?PCShzwb+o-E3IIL z%M-PE(Mj9CQAR6moKHUZ(hIz#CWi{jjv_S6<-*uI_bE zU+P6|F4^`xFNwH(!!a5LW}$d7;`0<$j9i1(fj$48CVz!)4f|Nf3sIN(AP`1U$V2a zlk8Rt2^^NOitkT;y_pN9Q+8CfN@ne|3YYeR4XdrQcVF1- zCHK942YI)!r;mCPx}x#?(4eC{d}w4G#)&$geWXPa}G5s5?3 z{sq#0rr6Z?f6oGtr2tm8Y6!<6gM6v4=#v9_e=N6RG}Kr|9eG5YAjK|eq3l@gK5j1& zkIi@|6T1KXddfMTd{0*=L?xU?Q;A(GuVy@9Fa>7I5{Q`m5ujWA1W^fCFK=}?bN%+d z+Ho5sxh2bu5ooiEf=b34HAvrTu=M~5jl(IqW*%)e!U>jv)~GKy429{w?neTsr6hjq zfkrbhI_!dizBpW~G#0+Pf}imjzQUT)1(b zo40|H@HQ;E^n3V`};pOi3=w%Rh&S*W=vP7n15mUgpK5WweriEF%+T zAau76?p;dm5M3hap$Y24F0`WmE>6TjNdhy?uP@!t&q?aga`p3L0d~pP-Cc#O-cM~J zGeoap+D;OoRO<~E0tYw}Ep*&C^KIh&EJO##@N&&|auZZy;153qzw=crIxSY2ZjxvZ z+^pPy@qYKxhT7-AXHuV~1piN#7Z<_!^tNnEMX z+r@BUQ#%Nv{t_-9iDE3zW6NE(3}F8VLs(%Ub%EAqiDD*xl?|(dEBK(Q;mN=>mzng9 zUybw}MOFrlXSgn`ww%k@FL%Z6cvTG^J!9(1b=7uvH%~vLy(EzfOIi*P-NW!}#tO&` z$VFx`!zR${`lXowIFxj=^^;yq&qe$UD|E|dEEa9REZ0|`UNJ`b=KjO^V)8Yz@9YSOSf01W`5Olls}_wZ&w?z}y?$Mp zv+}Q`D!Or%AIs%QJzCm9Mh%UZ6f84w6BBW%9$${ll=ws-rBg9drwt|siOC4;?aN$r z0UujWEu@!w{LF1V8^;-?;LUlB0T#D`m(a5=K#zs0)AhC95!`vzP4L=PhqR?HS^Bt1uJo1|#7YWJLm`!XvO~W-9`+!|pep-9v?+r;ZybyUK*S`;HSJ z=(q!aojR9yNVL$a7N|t`hU}A)+wJ{d+WLm}!8m*!kV@`2{GR)}3p>KfF+Yw9k1-c^ zogvz~Sx5F65oQ2OVfP2tPV>=+d|=EMrnz;wb4^8u>D1u}`ghjR?;d~SB?q=T-$U4? zidj^EO3MU|I1n`;*^h|07a))G$orj)Q`&aA{u2$fLjUnVK`;<=%x~P^4;^`ocOg4| zw{kxy;JXHvU(c%->o`EBMd09P(<-tIo@ltd%AYpJz_fm$ZpY(AOiXh5T#)rg5$l5B zSEsQST_c|LF|9mdHB(nPxw%^uH%1?W&=bOG=Al`21}cR-ZLhq)94E57{{1`6LhZ(Q zw4)vZF|z*!Sw)`d-~A=1oOiP(CN6F|{lP+B0G&@g5x#h}Py5njn_x!4%^Eat`9QeJ z|9S{a%Htj?==LvxyYLja85J(!fkN15Aa@&c#ya2-xJ0>K->HYm z_~1D^9@J*_wpoJTaNyoJvi|SreeC2GF{7ww7>vvoYF4|Wf2D;YbJCuqTT|oAu?njv z$y6+4`2o0-G;{fn8ommE&4&g%00hQgkp#Rn75K~$!SPdpt<6npK7{Nrmd zhTZ>jL%D&&v*r#sZ}N?A)NM?v@oXDJ6n!UnKh?;ti06Q`|3>H%)A7x3^r7KoPF{;E zbP4fR{#+iPCnKZ1XWS#X(69>iL675~*T6-tR3$}nczj*Q+t?Uh9OD?)l!d`~qb!Bj zZ9jW&@H9U>;^@z`x)uC+xrJjHLKllkOrQs%X27pkH-sN8!AvaAc2Cq&`bJIbz>Oce zA7qznc=nf~?g5#{EXcZg|I2VxHx@hn>IU~WATwKGQ9C^v3X9}N2r}w06oPS#C33Cz z!DeY}?IeWYHxLEUt#hgh7?&9jIHIqxtZt#ONG87aXY3Co5W=mHRln58Bj>Xg@j@D?_(9CY<8#4Fo$%(le=6J7t;AF zaGo12)KQvKUq~RI+}~)w!DmGG^Dw>`t6})2`Ju^4jTM#)%EiV!4+MtfPrv)-b%*SK zl%GE+RSU%m?N(-ZE&9^h-J;OMncN}W9!YfJRmt+hj;|xf_pBB6S6g*kgt_CUZzyk{ zg2&CelU}UQ3y?DU)GMiOX;p^82`>&s7oM*X`8lyaKcPe3K}QbGJnWAgj2JrM?%N*E z&qljttBTV&=PwZW2|pR}ao%}m19J*3wrb(V7Va%pOIKE18Za4gMhCA4brkR?Tgeao z=`q0uf&dk2=|MfEZ6h?0?XhJH|#S%Fu)WFHgrGXzOcsni3uc-_fySV&)wO= zp-`;tt$iN3)alnnNb7EjKx(dP{@SLyC@^s8O^@#^pS1B4A0dFh?}LiS9ERg-A)SzR z{1dQ|GNzPjfBXTEKcHwAiSPSi@{hE`bprP&@virmSe^&Wm9zrlOD4Y@ueX&6x3VgP zFQeuT_{y{d!hW0k8}*+2A}B?5MW{}6$i+>-&xh1HkL#hpSqxcx0IlX9#dp*q66;og zdtiN0(bPhSsTdTuqQmDP8Ir{f%@RS+TjbG+{CsxQt+_Ya{gQURFGGL7$XP z!DznJc?z;3-(oOPKKI&vSZm;wPnGwlm0NmxUfPN61)~2lfxK1mg-v;=c;JfstlL>Y z(R}z5+8j&tEv-eO7e8`gMKt3zT@Uv6Hj~1(&UA#&9idkUzZ5c~&1`Le&%aSsKM0C8 z^xPq9hT5K=Tob5u0nH@1P>S%FhuDk1j_DnEc+={HtHTR?BNZ5*C*sWIv{ikg_%Ka8bpOGEwa$P~E>1h;q5KY)_YnWxP z#t+&c0F7P`7KN^h?2gp$rOph4kkFL;#1`HDpSb-`Q`L9ok>B4$RNA;|6yN1Bfk3Z!N??D&YhV%SpR9MdYiK zxShv9g*q0`KiMt6D7i-~Kd*rS-buh(?+uao%xzNr{(2Ei%z4zVBl{Ob$z6%@y$lI^k_9`&YH%?|!)i zB5D`O^^-Ps=U{*0pfRa#EhpL!nepjY%W54|Q=357HSJ#=hn0(Y{?%9=6!ai48L+$D zDyFzdK3Z5(PS+yS@fY+Iie67sxj0Kr1p>|8tRDk{QQyEx@dY+)f3;Ad2&XlkqX441 zjbYpR2W<(EkNIe3ZntBFtb8s>Z+y_-x_rh|vKh)z_p}{jG^WBS%!1zvo_7m#q=og~ z-^zOQ5G!bK*KE(CD7pm>*3i^*Z%`>@J4z|c%9aAK5HZK0)8q~?adWhsPwA`?P{Dd! zKUzLV1&+D4mAYA=YAo$$F7J{*Dli13#^o1lj0~gV`G;nIph#Y@WhdWOKeOMzLD_Ts zI2x#vD7z&7wg7BVhN+EV)S%{gY3#(5=v`Hsl95V$V}9HNLV}6Tp(5|}pxFyXsU9B} zZ!tAX28>nRC&m+p71jrga;3-gstUH#&e#OsYh#YnSK9iJr(0=p5!IxDW~+l;3sgGg z1haze*DFmT6r74d1Do$2@!ke;&d3;xT zWpPl7!n`wx0#;y;!^rB+Ud5id@0jw=T$$7aO6Yic;BPh)Yz zFK2?csE@9`u_((j)M>cA*e`o^)z0*DiKM)b>G?CyN{0%Z`O>Vg79laY&g2Ey`hiot zRx+clpQ5L4l`+EVmI!xTYH&V~G88>AiHIxZqfv=PK|ZsAsFBu>8KGZ(uS-ZsFr&CT zMz}u${MmbDIbT9bDguNQI*euf%JWI$8Q9c1Wdf&CFe?Pfy&PcL`pCKS&li9pW>~Y9 zy5L0bEU6&9Y&LwnH~WT_Ga|{=!=w938;UH0fh?f&$wKT|rKhJ$pAYN^1ZJoRa!%@2 zAyB_k;%f+Gny0{}sR~Qb#=LSq5Vfb`tJ*nVRsw3RM)8&Jh#6ty<-)D#K`5%-wN?_r z*>Ha`5%p<)O&*7@-QOnV@_YI!n_DgVmBWpL{CgF!rt;B%alwq>Qs?xI%KE+ec*j5H zf!5W8(v=!acM49DR$p=bjR@8jw^*~(a6$@u*S%u!5?Gw35I+b<+YUKawy&D65BYTv z23F0_$Bu5heoU`CC5AdGP1t`QwEWbH$0Y}WUEQBGslVb}#U?YrE|K`)6~s($0zGD> zM%%f0`kqnEKz^EQWMTp_!F~u@jx7P-3xUC!`1-B))d3r`s zd2}EOr8s%4y?c5-C8o-W>WeRsh8lUcQm7u6+`zKg;&=TY_3`sBVtJIu4XW0d zyXDs7YhaquN6IsM{$erf2g_(TY1iX*U*`4mk4)kqT#XDmbvZmgS^^5rZ1HwTED0yI z-tG%ZyB+ga=HG}g&=_~N;7EXMLJfKpluwx#k432F4h=$HA;9F=?l!)@LMM@)p56|m zXaQwn-Y-L;Iudz&R{?4qpWhSKH^8%hm2Px37HqVzDntftc|$$`;x1QGhb{*oU$fh; zDE{ZE-eRJnZ-*)6=c75$LqkJfM(V53jkB)sLee(LL2=c{$jEmOgWD_Z*FY$9fHY^` z4jZZ?$2kQhJqzf9ud?0xUY{UV7AF_5bbD+A_-KYqCg$tIRw&2u1=Eu4P@L-tbYaOz zHzF)IXss}AG!_7KX->kCQznuVCT@?!*0e>UR# zfkxJn8+D*GkT0ernV^-tU5UhHCJ=q)JwBO4!2^|hj2iCGVzRQ|+}k|tJ&VF1b1?Lj z4iOxSjhfr+nO(e#S}-ZAi9}d9-ssRfutA^iD0OZyD%-_yU|kWd9_?TfscW}@+>#1W z^^{*Q0a9!Aw2+6_bYL8}qPa=^_K+7z4L$tD!5PEoF{ab~fIRR0u6dH6JxNbV_G2_n zyH5w;gW=o-Th_+SUrjNa3q>rB@?7#M%}u}hELhf>g2Xx5Tb?L9OxMvLGcyEGoOOXs zsb5nL_1GJ|0D=^e?zeAKoPO0D?$~S5ioutw=2Ll%mYH3hWoctrs zA4hrZj-3WgNmomU%onY{9;2U6m5SouJ+mH61_FU>nax3hl;;nzK!`tDtUtVtfsG3wre*}49!<$mQrMHlYQEX~H@aEOw$ zlm!b)6*5^|MCrj*XimW7c;t;Ti4l1Qnzt2bXgkARv;~;?I*v{;FJ8dxWmZv9k!^?6 z9%p9`c&6lHt&2Pn2;7>2S(=R#A#lBJbm6vjzvOEq^!iC^JKNjB6-gE5$Bbfxq6^DG zV^*YM{fM8uhr}EiySMf6Dd&?l#-9nQ&GjByuOZB<*M=vJ7aBvMxzgC!$UGuHJmlSp z9)!J_Ncr5m4JTaW@Nyqo-ij~}KH$ibE$QtUBb`cmG${q&>4J2bSe z5XsMYFUR-W(KE)Q*+HkH9yZ_SL}BLM5s+xiKy=rN^gztuFveA+{O>$!Q3l{S;qroR z`wH8!FTTv?U1_(O?ox4Qn^57RIb?B+l;1vJ24+d{{=7uQ#BE28j?B!= z=#3f2!*cFL^KN!m6%^)7JMw8UWibTuVUIhuazI{|pWprS$Eo=q2l{Uf!STbFb;#fO zibY)LoBUJlt9p8RNVi_s)>Vyc!;^G$DRtC-!|M}CZY`CvaJ_x|y=n)Vh?%BEDK3J7D+vJWIshg?XLtZt>Y_Fuu=VQI ztLVjNY4@kbg2uhkCRR=Q(9Q9{%njVZ5HYKqU)J$BrShOsBMC*%5`(Y3jZs{aoxs-2XX|LtJ%5{JpZQE ze(qiTPzguDY<^T@A$2xEg%g1wOO~e`-hs&paPbuYHiSFv9~6lk58BrCpwZE~j`u*% zZUE#PT{b=EQnf7QF>+GI99odRd%k6}pjcD9P?b!=7kQ@06eM{$B@S+G28?M+b4a^6 zwM*24`q&qDm9hnVij?at)o=7{k8ag0W*G@Yc6nP9)E7F7JVJ#fZrz&egX`}-?_vFP zl4Bz}G1VKh5?Zx&(IW6u(B6mf3R$rvj{4ur0bb<5u4&af+@pmW--F4iw{PD%bx81> zq!OE^MGKku`^!*KQ;$q#!io3d@pzUnMFoWyFxs(HTWVBz0thtV8F+z^ztKrLZJ%Pu!OtY`g;rGQVS{s4<9~^z8{f#)#KA32RC;iDKJ&)FFOZ^>vLEH zSX?R-Q+MoJT_roBDsE}71#l9ppm`0noZMJ$t1Bwq>X)uA&dkg=_be4>hfTkJ4O8;I zr)#6Lelq5X_6~>mwTzTxCp@f)KDbo9z&OJ=Vqx>fmFxJsG=f>$oY=vE+CzoNgga^- z^A)r_n_sCD-8u{F_qUs4uaDnmb(ArG#4DNy6!@hF^&1bE%`r-435omZ7D`f;Z;f$_ z`iPa{?Spl>0m-hv(j1ubeCJ5yR@pttU?#xTb`m*HTHWuG%H6T|myh;FPxnp-U>}Sd zt#04sx9ux65l}}n_eu|01L!!@mvwezM)f=Sh0l$WG^G-xv1mXf5C!|0g9o!XoSFcWZ|_)up6~pQAF1J zKd7H|9s^*wLg~H~vTie0e!;XaYQObhlSeGWQHJ3CI2GMasZv~UjtdkSEIey*2rgt8 zw;@x~07S`WLZZcN1+`y0VLfOWFiIayo^7)B(Fa1VB@8n0Y<<7svh&T0Rc6fRHOd>| z3M)zQA2pu*zz`FYGoc3?Vf7cxYinx(NaS#_p1|WBU(~i|oRBexN2cXRdow!erJes1t7P`OIp3SBrmSz(E{yx$dnEJ~!PhH-j& zTK57TZmD&@aFaI~5KR_%SQR2oj+AKTWL(X`-lELjc%cny@CzJu;=7*v(5Og8N>r~$ zl3NHCQ-SE=L^94g^(V$fX}dQrB{+Y?d(68-OuKNl7GPeT@ws5AsS8WCN6{;hl@p;m z@uz7DcHfQ6TYFZ|SDc@)%OSM54y=?DOt%dFk?OEO=hV~)MJM{sNq0Y}3$PXugZTu~ z`o%3y^Q{351y77g5ixLVTT12!u9QTY4Y8IX%q}js*gSJS}^&-uRd zk%Rj80yMcq!~_X_y`-hwR1a0*ga%X*(xc`SC?A2K7vsn6ZjW>Kp7|Wpimz3hfIZ^j z@h^h_mp}56gNHDnk!fjr?W1xGfRDoE(YJd2s@CKAE`j{--Nvl(wef~u}{B?N<8_F`V)$K35Pv(C(D({#X*)~2)v<^*kEvjW9>#8kZ zI#{_RfL7jZRF*t1eb=mlOFeJUJNotNB^1Wv%Zxy0clQ(^yfR1cgLuA@j-K9^zcsi~ zcqU{+wk1QM)scKy&1QckNmrBQ<8@ znwpBoaKK#2GOj2TS8ny?Gz&6Ppm3!`>-?UJF5NZ_P{0zA+90M3$8H`#5 zkdX|P{GzZuQm)t?j^1rR!r~wRz@r{LwVs)IHh7O@BXizuPHDANG&Np;jJU&4;?h40 zx%I?mi;T`O4ncx29&SL`_Q$Yj&k&MD03iP&grarIlN^_SiCYe66|m>^cp-x+>0vmOcVj*xqkC`{a2a#E#3aBr2u@l z0v=kG>kI>=lN=M9RQrED4_Ho|IKd2;W*)N%kH(lJc4TD4qJPyIU`5^a8nV9C!T!$j z#QsPa0EeJW_w9-yVQ`g>o_>0NXR$TxVDX@K2720r=tcbIrfl6xLebBWiT#SU)zGzU zo@VHQ&S`1a7h1Z`4`Vg~=6rFmUcYbBEZq;iELfYGb|wx&`zO4-$Njc8NDU`0HJzzj zI=Y*2uo|YLv<44ZGo#1hPrOE`BI7i z%hlx0{!c_-#s}!%(A^PtaCBUT{!RJRx`EIWGQ5ddtMRDa1=+?}K&=2?OehL&mMrWe{R>4FKWMGdJ`o@RbPsNX#UeO04^V5sq+2Y?YWTKIHvcY=fw)op`vM-!%#&Grvjp8S82;3NA2p4nctqmn#xXOC)9=1Jy z*M7hMz1rN@iymiWVU&vGXZhOdF*NRiq_vca@=*xc2Y*FjVj0?a#h_}dRs_Ss zK}Z-lRr5#4Y>$Sp`p`}dO&r%+G1$o3S6J3+UwSogF1Pzoaii;*%8px>0C021-7twv zf6o0*IgA@d2to7}1YxD}J^Hxr)+F z^L$TLviflK&;5sUC`&NhaJ#5r|*3x+W=hNP+o_WT{ zGeWm76k69tLw}vDvNu#}A9=*gq4u5L$H}XnF!BWoXpQjs1_(q%42KN6hh58RaPMkk zG}-trczfm}D>pYxf;WK5CvBx;S>tp5TYwRqqKhI6w>9D_!65bL)*Zc%Ml;v0a?%kN zzuh+2s^+DE#Mge!3ns&Xo8bMI=MI~MqeEUu43gZ}x2z3fhB%n9X> zgryT#D^d%w*pXhB;9yQr^=t((Om=qmVi5Kn3$&y7Bx{TUz<{i42pxVWH@7CJwN6$x zRW!N=1hA6lU|`l7Y&ag1B_KVHI!37BtU1C$v->Bi#sePcuWwD<*q^>A{2S*2ND0US zK%d#k-JPu0=kr*KLR6xtxBUb76p@We01|L=b!~*E5iH#>z#>uf$^>>_?ALEFl}X z!VhyREMn$Qzyf17ofZX>-Pbl^0i6Kt!3Nmyep{`X%0U%hbVU*1M%+XG=h3go(JTmDE?kD810^LVY7yeQ1{Cbj( z!=bxV?ALjk!(dfZz+YlgVET}Jn+v5SNdzxhcyMW7_rcZpGd~~EKhxBnAaV~1Cbos& zcYty@>(Z#c{OvI{Y`v%}M`990OvvtPkrR18u2wWpLC=wFhXW=}pl;a|5&D5CCdGPj#D-q#yo*l2gnb%2(qBZ%3tKlB4FFm zuoMCd({1!~uNQ|0ij(~$m9IT`gB6Dzgj!bnq31d|o~e`nagv@sJ2#gKO6y!(>$2cI zn56&+=J)4v!0cHIhbyNDe$})lmijt6x1n>$%ENQR-CYc>U}Qx_Mf?x(4D!N2J`C98 zX4lnmgSe@hwswcEy|p?S;{*A0>8ClOB|Q5}w-HCqQZ1<9m%? z!1~6m%2C8HhIV8 zH$rf96Z3| znx-Z3GEFPT0c}#Jm&0~x{`gbxKp;28P9JZ99j!N&ABci0aK}yF&M{1W_3bD;Nf@i9 zj?N)}jqza>Ar*$>bUv)U!d>5If3Bma?Cr0ak?f^!&US+RoAL`$CUu(9WnCTZ;a8TS8cFQvnf>)r zt2N=0%3XnrD7UwlUfrUz&R);IYbj}6dE5po@Eg9~MaYS3O+v3=7 zsT3w()T-|VPA$@U^%=Q4no8ScAT&9l{no`Od}=4 zCWP~}BWt@*sh4Nj5rxhyslCfvEbZD)&YONiQzZR%TV_8w^Z#)5Ch$f(?C*rb6}WQB-uW*zxFWxd9d#jPP;#Ng&g{hNXNA!&(VTB!g$Q(o;v}> zK1E?olOlqO-aq5eGuVlZFA@vwgm?pR9ZwpMnwGvi<#$6xa89VHM=61i!YKXh5Z#T( zQ~WK^7?|NEM`4XP+XdiQTG^bpM3*X4&11Y|HCAS~wuKVUJDXa$HL0@pe@GpA$h478 z_CewIUJ?%tTYMkm-18})#pZ;hKAqOBw%$oML$+Jzt#3`|U@{$ijzzYD@8V3+gGzL@ z^1z{-b=ACq{nH-O9AN?v$n^a9C25U#oS%`#cj{OYq4#^&%(gM-r(;Te8}E);DB?pU ztsaz}z=WLB0vA@dSCf^n@ye9wBvTf59cQ4$`=36zPY~OOMn#Z1UunQ?I>{nrZW04O z_?!vHOn^RD&CW$<#T;TgFt%u}Ls3LZ5%*DRqmpD6?4M#ZlRbNpzdOgx6{V(3{dyp$ z@+Op~_hwtGngl}j8XUq1LKBVSP>dZxM@lKH!~+4*}{+yr?tYH zEG}td@gf90j6fo`*xzVYOTtk%1go;`nuxWpFDkRRbgxsKthS^?!<5T5D36Az!YLE< z2&!n;nr8iP=yBbyBSH3Y9^IQ2i2ZGGRSoPL2kF(HZ7(&1XcDY_xi_a9^QS! zIeu@lirq7uaM!zMak#kKHN-#1euPd+yr#E5pjCl^{S!>Gmk~GiD=$&DJa4sGJIkEZ zOh*$x{v_I+#4c7tL%-RLATpYLlox(PGuwWD-3o#jIXIZ2Rij@Kjd2 zSFG1c7nM$I5SGlhV4$&;#pMq1L`q% ztPKx`$E)lD@1ycSXF6KydRY{<%fqg~T`v{e<(6;eWO#{MIi<%>sIT`b*zgq-@NXO$ zP+Y0hU9q-Qa=m>BW0PVK&t3RvqstOg*K(p>T}rQ9s88*T-P5*glNN;oYh@!&DsS*B zOf}gnf?GBI5m>B2*ACAT5#`rWR#a4Y$rtpA=RTq8 zi0$8>L)_%sxcnW>-{gUf`&ClR4)itv>L1%TMtY;;&Wb%0^2%?d-!AydcH(dCyL$R#}=Vlhq8fP2KI6h~s2D)iJzDTR*9%jWQdpMxxAGHeCm%8TPF%LAA~LqT=lI zl4>k1=|ahMazGt6F$x>=OVKA_yd(l6Hcd?AxbrrRVdcbFZAyg71@v|(*$>vAxAoi= zTq^pNEZ9EW1>sHgY7hUoYW~?A46E)!b*G-xUWUNn3{s-o(tf2~O zm#h|l-b=|6k70(*w{lgaop@^_76Tc$J34JS8q~z5s5iJKXxUpEPhd)Pd}FEID} z&DMbcUlFP`h8$H%B1a{jrCExW`ktp*!W$9e0_7fM4)2@)?iVjw76%3P ztKkv>@YI!BRtwniPbl>2eH7{Fd>N~s4GtCfyIc@5EMx8K_Ap%B zVso0IbJ$z)`@);zJR6rI)skk@(S!c`LlvZf_eQ)0Kg_qK*2U(7-F*#c!?GFr|6GZ8 zlO_tJX-Q}+BV}}o?(a`7QlDI^iix}j_v};9y4(?v&4Gd8gB-W3-6gq@(q zVvKP~oHeUU75kZ|Z9YjCG2Fs16nC*g>`4iP7-`-6aNhXS;iFwdZsAIn2-r^~rg}Zl zDD2han7z-M9DT}&vcez29Ky;5)Z|?ZyV1IBIp6u|XjJw&AzxO9HY`czdm-mhAv)4Y z%s}iVx;+oe-b^~(#mLpe$yMP81#e61b~#>oxte5!`Jcy;AGq9rm;`p@gcj=6!j_k& z?}u5B%-r1EnTKgW7%>z)V3cG_6u*7X_VvkiKcW>1{UhZTH-yeUb=m-!(7B*YoLc`8 zQ%w&eoFkdM?q|ySQQ})_Y6Kn2mL zkJRP&ZX%hSfgAT6O=hQTvJyr|LAZAAe`wc0hxGSum5aN@4FXqC18@}}0QD0BTBwJ_ z%Lc%gPDGqb=s5ky9Ac|3P`$m8-4}w1xN&`*KZgb(?(rC9NOC8)+O5!#W9JtZUgu*f z^A^cT=RaitGY75+Dh7pkyc)mai#e#GKkp8SGvJET>U=Y}yU9-6xK{wKgz0c*VPWCx zYDlKJ;+~-Gic3OAob)d>L2>M^h+#ZVkfb_ixD(4Ae2eHqZ<*lH|AHVuDw~3A7f@fS z->0M*OAXZx!e#>8IBbuwLo~D{kf=J+N_1$D+?bN?mSik`UO_gQ4|?1*8BfOvM8FRl zkG~q2nPn7{(|Yh6WRpXv4@6_si#dSLPHy@m_o@t+ijA4#!sMV)>s&A`Q9|KV0mZsx zDYlr9>1yJN9akLR5gL`oPZ!RXXaUUSbD)*QA)LYh9BBm@LYFigGow%*%u7bXbtgl{ zhS(cis@JpA1I@@$Yi#TMiC`3G_r99HgHmcp50D4zS;u(svM2&PC_t>oNUG-zxXEU` zUGo-Y&eOSHuRjaJ?wa5lVfx{#YzYbyK*uB%P)Q1~gZrmQEU38dNU z$V4HVw5zcj6hO>Fi50arN@7kzRZ&(7N05YfL?}Ex@8<7ce?MmZ-nmjjT5CGHUs51A zc;+vMWo2wf8MI5mqS0pPNE076OV5^gQcq70CY5eb+^u~Dx}6mUa9bT59P){P2zu|H zZcj)}Wz#huH5(w(kH8N40se?iiMB`T#D#_Yg@^+qOqNT8oQNFcSR(u?C*a{x z4}b}%ZD4S7e|9{#g@?xp;O2Rm!klKN!Nkc9(FOrP8JR;0$J7IcD3m+9vzQ6peiy;n zd~tCxp9m`LBVEVsdI~2w!A*Kfbgy?(l2B7j1)sgE0~3m5}HdYEP=) z(cpRUxV2Te@O=}k=)jR0IK&8wFJO;jv*HFBv7^%mUe=!!g<*|MsoF`G$_$sI|p*! z^mMNPEx_-cC{9ex?q$*!{9;@)`iW-j6IVGM@$TpJ)@e?iCnlZ3aP>Meezi zLg5Y<6;nWkA?wV8j2ACjOa89b=4`dz{99hmO_dOkOQsYp-^G*{78eVpV7odQsS*;` zrrfl|2z-$+3}rLc5Fu}tg<`w%b*UNY>EeMsb5VGJg;+64up1~U?j3r4h7AQr5e)A~ zyYeQy2P2#oVv$=u7(w2@FQ14!z}zQ9IpI>2@7=qnBt1C2{wg!`;i#j(|LC_(Sa=v1 z7<8SIRdbHTC~LGqbw~^DA~Q~pv0m?o?3t$*0QuN(1$1Fyb+g1kPe7M=Dn?nz-44`O zr8%I~1BHOY<|dnE_tL9A1)8Z)6G0X2&Yh&>Dkc=33{j#u+2pYKU;CdPv!A!bCD|}r z9nFt6wg@jSt8@{e&{RF?-6P+Mc^L>(7>e$LWXD8;KnpR)%}xeM%S0zzJGIb1t{&rP z4_Ns<82ByBKk;?wP;0#SA90L6`8I_`Lb_K#40Zo!j*a;b*~5u~4U% z0WukyB!<&QJhmMIveVW%yDz-T)FhswEf#!Lu(fI>Iqa2`mv`>KOASVKFKAkmjPULS zCkeSzS!-z3jns8x*?M66tK8MdpqH4HsAP(XiAg%(T;w0;Xh%GF`o+<7gCLt$$B{v_ zxP*j}YFXU&klB!#4{DEAQax``K%7F;i^Vf)7}7;tc0oZ$|AW0MooSq>b#RY|c4tBQ z0g4l9_>b+^;I3rnFbe)m*CW7Nq`fz+9`qN&BPAFRr*-L;7E9rLKjgV^g@KhTr-D)A9rRkQ7eD# za4?4Rpd@INetrACYxtLtJ$~$sWU(+{ zqgKYx=(Q;|5%o_H7FTbQGW8*dFv>V+$RBRJo@JqkHXH-0jt?V`wM8PhL06F}GiOXR z-ksz8$6#UaHW*qCBr zW7Npefps=1A#2_56FQtXK?TA-5(ZBAKeMmLhMKdUWjz7HWmxr;HAkKwsm<1U%_M$v z6X)9p|4K

O7?x3mq|Ltb}WDlPp^H2IsTJUx|IerhZ#8v#UoQoo_n26)>9Ehzee7 zyK7li2&O$XaaAT(Q7q17xkAHXA9GH}8^o`*679CCE>NS+FGgfZnnbC5=Dxmu4D$H} z#^WPXN=rj*&Pv~Om^vPE2fCjfz3)$0^sCIFiM0EODr4fJj=w&jvv%>f9j%hJ)w(6h zNh5C)4ARf_vnVV&9DZoEYo)=*w752PDe<6@?9mH}s^{Zw%@b4e(rl+{Q?H9K->Cci zPQb-kE)7OWdiN`AE$hJjfiEQ<`BR1Gh9jQ%XV-39w+O`DI<2`YSxm;Z)$y4k-^ma| z{8kwoY~~{AyL!d@9xY7Rzor|=pL9Goc$g;uV7N_(cEwQGMaQM4nY+WzKa#)zw#uRf zHhfmiU3RBk-+8Tyqbcb8lZ(%aI~Iu!CnS;%_;(~()MsMo_t72OBzyf>Y+Do^l6$=D zcFNn5^M}aO{F|%RJSDfd(E}adHK=|=v4dP5qI-gpZ`SJ`ZQ!NguiSYGnfW3Aj$Ju% z6x~&(9~Ngc?5^`Z?}N1a?g&IL83umR(FHFq9lWq7l|$oJIJn?yGYReXxkBjGIvCe* zuvzqYjNM>BPBHfQD{|H78xXw#7o)R?)x0HMxln^0%RrFXuUFyD;yK#;b=cssBH>X z@CwkqfUOromF%VHW2kaYF0`~0)9Ne<=MLWRKq+o=zPw-ILEE(G@-bM+I9X=o=x|Pt zu>gFA)1LeV%E!S_(l09`9&?2LSm5^&TWAZl0zl@g@A~DZxw*XRw{Ht@ewXp2-VF>i z{n-rx#W5vO0QPo}x@nt)NBq{8RaG-s3Wj?~jG$_J}37a=W1OIb$ z)OdUg5Y|b=gEY4L;S&?!FS-SjZ+5fn1LYBRw_%X$<%+{e-E`b!MC9~csrKKS~=w$lyZAV6GDo7?t!QF+N&-=4^k~NgFHfr4__W%bk zH~oF#CX}>`Ax(Ar^BW8PUwpQS4)sN7*M>v800C4D--9sfc{!>Q1JsERL|Cxr7Z%=w zLo^tsoDT<9NeVVbdXS!Gyw2ZD1S@Lf{Gdw);jkA`<;uOs*cg39Qdu-O#$CcFbEtNs z>xYsNpYX%9uxNu(0AqDxzYt*Wp_2jM7i=f$|CBuo zB%P5gGKqFsg60r>8}1QcWyeBReEt^;0I}a>((lVXCTH&a$wH%gXkqwvd+Fy%SoRpGhN z>kfr-5BQe1ytqAxkWCbfU|-W$VERhkXK{=^%wdcBBBNvVl|i}rv%@@Hl-Im97FYsQ*aXF zM6C=jt*rYjk5wIoYa`Y8^kpgi=rm{ui5oq>OUq~Pxlo!7vBHE<)i#EhRDw5`+}tP_ zcp@ynCO5z2PS|$GhnI@`^aFvD2HHq)2xv`LZ%qV7{IN*%BS;Zkc0enduqElV|2VYLFvAvrnGxQwOVC1qNzjAHkeY zSy}n{OYG${2&$(IJjA_bo!?I1osp1~3^TxBdFHC=p;?L$3fZMx1`{pL9TS zgcI1=+1FD77W&CmY~_B!pOrcB_PSrHIi1A&Z;Zoq?yJNknCL1mXkGlrDRU zv9a+Q{4;9NS1zKhjkgRO6A=Ia&(d>w#rCeQZ6|MEj=M7l?R54fz-F-o{+JAxaqc2w zX=}iHoEh9ZW&Idii9sd>@b=SbE=JXE=g$QHUQgfPa$UGzaDsc(6+uCO92P>wU76n!uxnKe)ELVao76IQ_$m&pRV+nRYitP zhOF|jUohO^}*E);tfsJt9u=iO7RF+w0kb?7&^_mLK)px71&)c#iFK~zl2n_Yr(4NR&1u=C4s z_#p+?%*-K6Dr#5l^#3^y{vCMPF3sP};@{Kk7p9}ct0 zcJ^%B*YP@U^7fa|qf-EslbmrZcP>l9-n@CU6zr!>8-wckXi1$EMMXsf%jR+7-NS3U zfVwLJ4$Z|;Btdib(pI1p2W{1Tp5;~rK?eYdCzk68Sp0;zz=42ZDuxWqyDTOkt4BuF zu-2>KE6wJj)Xcq!&wu}H$^6AV#j4FN1(LUaOh29DZazpz{6Mtrc>z+wjW5K4%*@T7 zB9jqJ%w;FUmaLy^b+-|L+P)7g&I^*I&W6LhL@V5t6h&RyYfP=|r0DyG_iyN5BAW=K z(B1e=#KjgsevLE4i?CBg!>qXsBHW_ZVq~=j94pnV*6R{7KeWYMWoGxC91z5DN9I;_ zofp$CCf=>cI3L`27R+^HU6g&$Db0vn#NvAgv+&mFywNCb26+~Fzt#FJFU~HAV1R{p zWO7m@(LgdykBx6?cG-OjreUwQ_`TB82pf6u>A0PizhXTyFFNJZy7(EG*5i9fV8!^f zgvJ7x(N=cXSL^=wVsRtRH=z{LEf63Z_4Mi=!#N4y_`y@R*OPZ{o=9#6;?;A_7qZxT zP@*vlcLI-3*M^_G5TF-KbA68RYkAs?P`8_6NdWJR7`Agn4rn>JmFTB&HnkO&eJ>2e z4t{|9?mOdZP*QmUS6kFEM_8>ZVK3VK0D^}}c#Ma!DKTn08SQhxc@zUto$GT5FaqQ^ z=xFh@{ONvwP5|NnhG7_spdsMd-f#8s6iz!H$9(`M-hGpLFfzrfZNzK#!^4p5S)7O# zH?IE!JmST8;;!ED@fe^@Q%%)^=n`@CM8Ndba-(*o%lR?_97&)tq1mzks|&7c9HY8~)NX*HIm?YzN&5xR_epRXtu2#)-ze)A zNKu~}v3=Rax~6kf{H2ViveZXspMXon$Zi3!kFn}M9kOqNKdI-Ue&$17Z6=NNRBn~pU$U?l00mK7syhA_ z_ZlEBl?|>A5p|*!E4}9-y7XJf!Flc73)*L>h96u|2r7^soNvGYXzHIaDL)gVy#AZk zv()+daJcaZf7NM1vNgt=eG_)M#ZDiX42?kU_TGvM!$x$c%Y&rjiE}9XMC;n^AoR_s)6Y&P3 z$^@J1s{k7WO$J;~3=4rdtl$6sM#sgEb-siWClmzoa3d79IPJ!)b|DU<0OQTuW(2_U zKi70M&q6T^Hu$3e*6Q8q2K5WX>9f{PZQXyVxXKM^_!$%+_^z4%-86sOy7_B~uoO>x zN5*;(8h-Ih5Kd!v04Pa;O10-!_|@MJQz_9O-GDI-GY9*1!hcC;MJtQQ3%JMQnM20RK`} zSb)3@OX)%~<%vO&$SQ)|P3K10-vP|LGeRWRr{0HAp-YJZ+S=NUD@9PNe~78XvECK?bp?3DolDT3?gqtI2LcdlPX%fx>|TzqpZS2{XuFhQ zXT86YFLjUPrcq7v5@=Ro2~XRmRWH`+62AM%A&0}9jcR#*L#e#?5(v>Gp)Q(8K#2q&iin`Ph&R6Y|~iYFlJ(6f=Ds1^*Zz?=&HA=!_V!Vum}SX zWtm7` zT}^lr2sico+zBc&tvLbbtoQZ&2!H8ERhROWM(sI?&ks`gB0IU+ z@z!=;T`%MVHiB*|9DA-J>EpKpq;=u;Oy$to3XHz>_wjo(oA2q)G8Oq+Z9J>}+TYAW z@=zcEXh3Zblk7n4GZrJx)Z2Y_OmL(7hcv|Y){nlu1`(Q$vYeL6FU(@CeG;~ERWMuS z_6-P|+5kEk)i6i)%NejY%NS-n<1o^9*c=a~!gUxaYJHHKn-*?9I|exNEXzk>+gbV; zm*fZqOs|ey%V;H%1mw{Bw;ASoUQ$GpU|=XvaAxJjL_o}1peEhh>OI?;7$O)9>kQ`> zKx~{1XbZiWin3obH)VtW1S%v|C17Do!K-PVx`!z@&35($B6bM}oyBW-AQrFOQK3rQ znWKriW_miu{CFp5?l=|#I6>Bu>w_h5QrPUb{$XtFw3m17>3e+}-h!tFHj9@nC!j@f zzUy_#b++n2)~pWCPy{iU)Z6?+TDinb;MYaVbrH907Al~94+pi*|LrPy-RrVxZ1?Ju zCIO;^_nLcmH8Il1P(BsZC>?!JB~TK!#IRqXVX%7e@Y6?8-Q9iN(yuq6)5kAcKA2D6 z^&n>0(b6)DZ+?WCvbjWw3c7g$=kKvs!xU;@N_4v`F+gY7v#Xf0w&vE?V_zQ~FKSaC z8DX0J!tL5ggHqqjJ_(zuPdV%TtuC!<<2C1IwS`gH0fiarcfaja?A5cWuh^h! zVw5-Yk|CzbDP+%a8gU9YD6Q5hc<%D}S`G9^tG_Orl$UqL$ew=;wecKBH4-!BHp#)U3TC%3D#nXE1FWh3V0FyVN&K+q8nic-hSE+kNs|HF3*P3N$p{WO%DQmaoy z+?dEnTBa`D;?{B7sLXITYYfVW#M&=f@N6rJqb*O>!A_@WzX(zMwUK0&4ti0rdTV+JZp-wL{1gVh#8z;)uG%@=p=#`=b5%htE8 z?HyTyF27#IpSP8j=h>d{W4>0`Ibk?CIiLKz7m2&9M|^(-O0g(0TMhEdt7qNKP1jYQ zz9vz<+cN^1@KdXP3GR><(pE){c~^;G)XNnMUm%D9ES6Um~^ao+IGolq*( z#_HMo6BdV(tZ>O2#*j`*AI`&RRbkQFYxABpb$NKg8pEDPGRN`;UT2q&kU=yGQ#-`VpE#EKRM0-kFs;Na; zqX-oIx~xkCbGz++1wL7$);Ze36x!2AEg(Sd6bh3*z{*c$KDf^dW7CaWoS(mT^JX{? z07~=aZg-Mvg5c6S1NK(Cx2m6qzTj-S(T!14u~n^2ndHkoEE%lJn}{*ubC2@=k<2)t zR6%v(IZdMj>u~1jMb~%=phlk8r?7s6UaE|(dP*D;s#=9n4$>S_-kzrQBXz8~bPY$F z567|4hI4RoBFmhN{$6j9gdH1o5%JDsR$a8c&Wb+Dc=G-T>#V9d1^yyRjv^CYt zZpJ!{!NkPGbK;~8ug2+m#V#~qltd1=aGG8R>+R(=(`;$8^cJcW83yPS!Cysyt4For zKkgd~L@;>u0S_hD6P{9**U+izcbgr>F#wA1l({%CKYy(FpT2IApiw++^*SCqv?@^v z2!PxagW~IQmkQeWiahe;3^K>_p8z%BjwrNeYHP?x?%1~U`;9wMB|I?}H*eBWdtREO zX@z5DP;*_RX?2OX@-g*iU>$RdO{?83G&#}JdN2>5xIO=(#?qN{eh^Ls5<$*$C7(O|A<$I~#riAGh0~2O1(fCf(-$y9HqR?T5c>*q<6)0#MeknMDGeA zv-cU}DblQju~h2ba-V>Lt9Q)J%`38PaR$&l?bD2m!;OqwUhEN_AMx@I6N`X6{I4@ciGoW!AuqGr1)Sfp;%Vf z-fVOMmFlYt#SQI-w*nQB%7BG#uwxYP%q1g;&FrG%=F26XRx)bg~rq##JJk6U)Ci@8)R~&>M<0-0;{?VAx zzp7{ygX52#KUw$KE9i6XCv}Ms%uEM*dmjMoAo7g`fB^aq$t%+Sr_(qOl^u#HQ2PzLrv0farsIV{EGS=m*3M#@>Y?#}Wv|#VuhB z?6Ba;ksJt1F_cpG=~f~|N?aV_>s9>bU~gfzk3g6wSc0pIOKYJX-s>~P$<%>oj;A#6 z=LV5dRav=kpw+IbR1I}&jyyHI2wd|AMBTSM!q@^+ky0Yu?AI)>og*Bf{466-R$<%_ zC=*YMf7@JXKad-uvJA|O1>WDit(Et;ea6Ywl?at|T-|H58qp^lU)6Dhee0m(sO4z! zF8dpGL*GFQOv`Pf7a`b=tD24$zikBSB$N8<<l;HCuE?ZH*tSKK^|W}oYV#t^nrYj&aeF+3v*qU5 z`_Buz+0AI6D_3wpw2O;OPmV+sji&5|$Ub+i4#Qzmu()9(2x z)zKv*m5v5RNC-dgTY&9;Qrg)sPC5O8fp70-%Qdm=HZ{Q1$15pnG?}y+0co(NPF4Eq%^UE@My}ytb%n zQ{ieM`exvX00_x_OPcNV0d<+YFLoYZVi)B=Nhpnm1}7gx=5NwWuDm~AU?K0m3{YIXG%5u>#+EGqBDl<-PO_(#o`?^bLY1F{?a?-&8aSI zoU+-KeX9(pI0{Pi`2)zNh>e*5R>j#Haz#ezfLpL-GX z%ihh`EfQH44u;(I*BY5#pYeNq>XBB9-a_|#Fh)Yiu1^h31lvH6K2_5NIYvz@6M=yy zbq-Jl-E9o;6_9jzv_Q4zN9o{(+?`F|9PhK!t#Vc|Gvf^U(R9`_%l^aLYDv^YG_=ph zf1ZIX@1K9M9=6T_sJw#uw}KNPptCZb#IB*C@y|znwc2A-qqSB7(3$lfBUe;ZfGqHj z-C<2lopPbg)(7?gM70ht-{xpCCkaZb3vU-4ff{%;_=h6>+^4h`1e+^2D}NEI`EB+=2e`eko`y2knx$k4JBIM;L!{zqOiZP<#zuH1Pok| zskppa1r@mKWR~pjI=&w=G%tRwT@r@EgE0#*?#3S@a+9^10kRpn8vyb(Yz&gu%#h8A za)e#)$HML4LX&#e;V81E{`LfRE(6GfuU#Bdf$BKD`seGa*WP_Lq}~ND{?9Z@fJ?gK zaBu%zznz)(m=*Hh?|<~$%jjRs2?fM`cZ25;wAu4r>Z-R_8_$ATU4@HC7Dx^KB!ao1 zK5zp;4SFG{P4TGwBcx8=rj}%VXUvlot7l+vuOpsEI_S4w_o|yThaYrhyh8;c!;2-& zddz-W0`MhFpXvF&Y#DlhJg-Az1>ut0#DW%Am`Ob>XJ(um{`IQz&$(3$dcwoPRKNN` zPy7_zA(?UyIyyQayE+#Nw$CI7hVm(2^{gA0DV3CvumB34wENVJ?oHU-gSV@KqmbqJ zWBx}UtV4-5E*R1fi2vL+W;)W#XnvRfkv;eX%=Fq-SA_owFa(%#!1niw#errdB0tKe z*^srKg>_Bd&w5t=V~)J0n$cU>RLA@`ibsS=pAZ&tIUd=c>&c#;6rD3+SIa6}9k-4>tK9v#8(#HAbUJ z31?FQgOcW%nb##x`#*ksV*3KCjO!I$Qu@W`fJj3_9lkb55v~Fe6dX^=hF>TK?ZlIy zL{jauk{ZkKcjxn8Gu#uvEjYlk$Oyfj+Mp(+83yv9^YJ(JKWe3k8^K%w7Ut_QwEz2% z{r~gZXHqt~R%N^csx6uh0AghRt;*{1ax*CB9We_jW+K#mtvv$Bh+5Ao9LhZQp9{ai zEJQd?@SN+uWZQ*bn!Ea!c6I5iYXLccN@nGxfqc`MtJ@ zgmDh#;yl>~Np{?ES_z!xMa}eH(N&;at|h$u-F%A5vuV|pDgl#6Js{cOf3X17E@=xO zT*`vfr#*?P7K$H;z@r~<-Z5pQBAs5O__EE3=pP{c!qHYD>`Bl%x&#r1jU3IP{(9yy zi2AecVd9T%!y0cLiS1hR2BzoN>S;!ft3jr16ht~uScY3AegV+x65`^opcf9O)^1NR z6J`lo3q5;UQwPnCW2Ww`KMjsXWM$uCC8VT)6gu$?8w}D8cj4J%wwM47)8Kct-b+rj zR4LapfOPAAA)iK@I0V86dBMLfj)#Qm5D$y4HvU;^T;vr^u~z(`WlUvO(rzO{Ho$C) zSt;>{`y^+PYf1(^+Y^)&kN{xc670#U5h#?j*=+nB#lxs4wi;YmzajWZ>uk$9>Qw!P z=<3><2;du_FNfS}pKE0wGWC`UYb64<>gPk4v#Z?usgw@ z486V2+6GRv-h9ZOvUKxL&v_A1BRxQKcn{x}0c82{gZtb@J=u2k&b^Sy&a=-tWZRvt z06bQ3js!_35&f$)v!O!|phqD4KB)(9LEMde4uEACSkP0==FYUM2dM}EnswuYiq0S( zqEl|b!aQ7J7B#u%W<+$j>EI5;WN;8dSoCn)tMxsLxgoDT^0Ft7R#>AS3RCRiv@}#} zEa21AMQ6zC#$5zS@9%n|1Zb^6#p_XhUGR4CHiJVEr4cM4*)U*>=hXXLqq)k zVa~>99)-#?jkiO$`jS#6W2l1UlZ+E<=;~4Je(M9x}aDKo1yOIAzTMO@S-BzSJ!% z0ze1Ie~{bg7aKng3T|A1LRHiFTq~?!;4m{fHdYGlU(@eq!&oC3wezRx0kywCOqbUL zkN~J0y~@tsi_n&_#hpd`vhQ1>aoJ-Jp~nf@w@8%%{?x{^%9CNhpNKXKgDES$B$svv zWu+1psGuAieAUXR71o zVTAexLFqRaGAQuq1Ao^Ly4^F@WqY)MVcI$K%+l7}9+IdZ2SXkp?RS7#0mH+v(t%mO z#MEAzG!}^<9VS;1+YW$PAwdIxobLVHn5|ucLh-^w285SQm%GCWy0WYQ)&V>_f;(su zss`}sN`mB{->rwlGL~YhzAwrLWh?s3T>ZKSItvHFL*BodyfzHIL=or;{zqB>7N6Q4 zlTEa7*E@F(Mo!sBz~~0-ghf*rLzqd$*l`$>575mM1qm<6^#37xw_t%NAb!lcVo4QL zW04O5EC&m&Mw%q+8H2bU(u&U#FE3!^a_ILf^zw*9&0qcEQuN@+NI^QM@&W(6++4TC z!Ao~mwSbaGUjewikG$*}*UvfS4*{GU@doJVP#FXO4#JQZSdfVot1pyzNde3h97zQF zC1GI)oW^U1+|usK5nI8+^&jWB5NKS3Vx|)A5!dDre|Ud`lwJKG8vx!Wo5GJrwe)`a z6b*|po6G*2O+;e|KPEvl00a*ZIRWq{@b1YJBqbq4bLfgAt|vhDKzQL3#F4d>+u+(F zql*Xg0z_FG;EeIk9YvC9mE3=)JBS!EwC#9(6zL@ChPl^0~V+w3di2q^>M){Yx`z!tLOs6 zLDrK>4@f3DZKl4FkqB79)qFW+LWi78l>xUj`E6mK^W1kpdX)z4_;XT>Vn|OIKxhDJ z@_WudghCiO680s6V(muwfP(q8k=J`h2OPQ=vR1qcnKiwP>A2Js*jTf7Dp@FryTT)9%R zOth)Uz5^WguI8Uaa2`Y^0R)Or4S-wP;^tk)iGj)o`00FrSpdPq8*5ThndmCOBVfwD z7FmU=7`;J1h$-y+^5&5B%6B5;hXH{H^98&Bdj|&}ArVNtmXCYB5u93>O>$LE&VCRP z*uE}`VhI1LUmyInqx{I&#lrpkw}W0ZSD=G5h=Z%LWuAH6(BSb{jD^Y3ilMpN0Xz;O zy!|icO5G+ul%ZFD(&pS@uQf@jG6gzYZd&2Uvw6L%k zfAlxX_E1I{ooea_IX&?h{c{z%D1mc?uYnosFSLX7zgFM6PI!j%A9KSeFch`w6|$&| z0)t!N9sa9ef~?&zX|ef0e*ryE<)_PJM_y^sy-hB_;ku1)p`X?n{?p$3G zZ1QgC_7FAV)PnTV!Stu>n-(kYFO^z!!_h2l?qSw;&*vHT?diPxVmx9(DeBb1fmvXJ zz~pnZZyAO}5~vItZ_R${r?LOT@_+TacuJ`$teLbJF#YbYu|`*B;*+RhJQG!@%AmpO zIVBhgiB?Te zDG>?}I-XL+fzhAtl+rHXa57XOjAdYL%MEx&-T)`q`!WzGA5k#coOf&_`_xEbix>LH zy#B1TBm*dI^XB%P4ce?pLsrwX*}(~V82yuk8EfYmC99c4pyW%n179A8`;|U8a*72O zKK9^^vbSt3F)XaF-YfzPL-6J8o3a~af+pX~4ofsU>e=WiO+l*-|8q#G{>iQDBMzbJ z(?G1(m{mH!r%%Jv{UpLfV2b15NQvjy%lswtq2b}YH-<$+ z?uOVi1LRgzIEU(idM#vV3|)Zj$oR!b>ysk%0Iztv8ZI|Z zxA7RTXol}nw=%w`cdu&)^pQ3D{eMES47TwLO}MYtyuxxspgWp{iI2l5jNd(B+@!Uo6T`h^KdHK^^K+12N zCo85V9;m>)R>VX?=@hiXm274D8UGZ>Ns0GdjRD%)4Q+8|78SpNR;BJI>nYNu-_=b4;j$JQvDH^Wb`QN5jIL{afGT${ zBNZG>bCYq+di>@F@mPUbJr!y(H~T~^2IkGmPS!dkRBN8a7eea42)2vgfVirEP~eM4jTgrLeM5EoPN#vCbP(@x*J8?>(k;JmlQRMPTBBk?a>QS#NiEj!l4r2HvHB zwby=2(F=%6US2DF-M*_xR@j@9$J&mrIUzvQgxJ38UQPY2`ZqvvUzG;4XsDbiB+X{6 zUe7KT2T>Iy6yKJyxW0L=@5`5S01=7`x>zn6#~k&VKH_YI(y@Kk9^o0}C6Ait+e)J+ zf#;vk?tp?3xil%+2>a_81FRo3DQi^NEdMwT`?9V^1Sv;;nIPX!lgj>f~CwP z$M%4huhkVW@%g z_8y-cHbw+Ug&~kcTPW5UDKfQ$IN3>s9}ao86%;3Hchl5?%7a<7?a`$21ip4j7}`=Z zn_HQZ0J?4wyRqcpckN}OxsCLoa7h6-DdCVWOhmuqC8Cy!azI*CEU3x-L{583Ac=OX zfr7!@%8bN?2K}u&gQoXxvQCtc@v%!j787H7i|dXTAn)AKzxO}N4eAGudO@+qK8%eP zpKp7zn_7KPQ17|}YES0uH?FaP=VNN)tR**06ZxA^*G*@`BbO)!n}}$Nv(Tg+ti>8yG^>{IcH(+%MVwhf?JqnhsN* z(?+;3iGHyHtOh`0j>ftQ0p%Xp%Y4LKO=bNld2BIh(0y>&Bt;`~w205DkyYFe4n zJJhWOQU>=3RqX6=JtiH%`jn``yBJU_)sPK~mp9j_L{*X(Chx$$QMvDIU#RIg~zx4#XXf1DDY%KX-$yPn^ab?pJ zKF-<~ar}K$X%S1=@|$t|`wXQtsnAzyH;lb3xtE%%zg&tk$d-$ebYs-49e4PmWPM;@SML?E0Vl^fh+0#k!yIVP@AJipWeBCJvlG)H8ZJd#nb3&Z$vQf3wIsO_qiIdk<%Yqw{+s zrzvF19SK)d*7cfp;N2D5?-(l=rSwO4!ryT9l-4ZM3rr3_meR?ASGc*)^=!;(ptX zP3Qn7A%yN_>*AUbSF}#JTm~cS($%fhrAhCvj6XSYCj3!xCg0m|u6*x>)jx3K+Dc{9XA7OyM~_F|HQ|e7+e_Fz z@$_ldX7w}1q~%%9_K(_PF~vjLkLZcN#C9*o3}uGn`F|RHms1LqVR{e0QD~O+^)D)C z?++3Er%?-cJ1`1)j{+QW-xSD(y%M9SC2`J^_N`e|oY_ga)`8K}Jihff54oTRVq5l! zyT7^V{SYyJy1U`qBdd0vsfJWm)XP@2c+PoEy3&jKu4)_Qtvp`@CNDW1Y@HnP-z|+R zYz^=8r? zH~bLIBg6^jbGhm*gk}KZJrSgCG&iDR0lOq-wi<#4u0+*`b67Le=6^o1+N>K`ctly! z>+BUi6!PpO%Q_qel-S@%Zxb`)aQ{)+05y=<>31}uj?@#-01#%y#%)_yLB0{mg8h49 z_?)$!uW5?9GPdd@0nUg(F-fX^0v%ZWuec11gQOtx;Q@}e^Zz*f0wWdhCbKf%{g%@n zXh=UFWcVP#EnG*mGJ>zmmUxJuCbg!NsujAle9AK4(vwzlV%54Nf*L;$qhaf|B~VbDx`)mT-MeYC^CUc4snod!r0JYmP1|gKok{gk}1L<9Wx-5 zNnPCa2fKt0#QrfoU2onPJa^qRRrSkXdA~DlVR`xY3(fYtusf|dH-~RLK%Gz6OKY3^ zV&Y9Ht&xqyT}%`09FYGgA$F!QRX{5Ua32Bg$ z5D?#ee($~OuH|1c19Rrgd}HtD`8=Z%&G@y8DP6|=k(mqHGdmR*+zzGhSLz4`Kjr?m zJ32Vu307s0ONHpsU~Y%P_sj5u2{xGxU+*iA)zDb_;f)iGRc5}Nz!{O*$S<-O^ChX+ z*A2E(at7czY;d``U_fN_IXQxGjdcjuiQIouppd@w*ynG$E$PM=)}|-3ip`a-Z$EUX zM`hjiPhH|Y(&G}vc=}?+nU$!0pJ{_u^0SW7&y53%j@x#k&kx9bILOlirLXM;5ZKEn z1@%iT&4>$i?MP3k< zqKPKg+Ye?Q=Wf**KjmnpWQ;s}w@_M|%kMN24~y44`xp7z=LOO0%3La^ljkcr>VNOi zH*7J_IuL`-Q+SxdlhHf%8IU~wv+Z*hWW@sZBTNdrE|UR3AgF+62jy3t;!5y_4-yXI z0?uEk5hAfD*?_R4j*;zj?kaq68{WWhZDvB&UZA@{syFdTVQDE~NLhXEK{y_nZ&;>H zZv?$P)?fdOf9gz{@>J)n)j`$qB?(cn?NHtM^whuQXkUXh2(>1?i}rtA|aXGHzRQ1*Ay)PtC28^3_8DaOe#sOppPF??Vp|PL|Iu6~e(% zL--p=c2MKX2NTw8L}&cIu1b$)A4&*&KY&bRbJToRVc4kkk&|~ke3C(rwtG0`_&f>B=yEXMgV$?MVTx;*jZEfOD!JVNgEDv z7BEnYg<%G6Qqr%kV_{fi{|8i|#gpXI=mAKdD#)qu%ZQF(3j+5ZZY}WO;P+&E&a#I< zx-*jUvGS-R*pu6d=AjxtYg*NbslefyAQ@IOfRTkbf++I;&@1$1uPEhVTM^t@1RafVTF7|4_CH+|l6}9^ za`xBrQ*y_U!_W;u4182lu^1}^^DXYJ+3Be&BKFI|pVqyx+lcMOuSWF-r!QaEzG4uM ze83V$Ya343rI<)B`&0H!V+1iuu6LTd7;)&5if6+Y9DcO=`VF{|asV z-rE23cjymQcqT&HI1ey8t1fa%tmOzv<6~jtsp%*k?2cBu8cxACpA;DxbZh3E1$A66 zo+J$hSk4knHnkr=7*It>_V?QwW#A?hO8(P{NJjjVoNVehEWPDhhG1)Pu=QBE4+)78 zrH6sz8$7E&_GjMu3-LrUJvY)AsCXp(szV5eM8EYN)9s`x9KXdK6EE+K>7>?U>Fij% zo9o?6No3M_KZ3rVDlg|H22>Zt_ zGpgIh=$2Ev@yon}k77c(M7IzN|DDm+=ltn+u!)yv`j3}r4xV>^`>vw0ao>eAuH4Kw zF?p8t2i4C%h$XE&sMY@1Gc7#M^_Vg@QLs%kq zr>AvnxMp5kmFG{BtkSa-J{<%v*=@I}jQi?PDMfg$#V8J#du~&%2Y%eV>wPf2h>jQ| z>=$XkZrT3)7O?^!xML5J?ZAYutJe2EU{vsyA0%J#jFbwLH%-R1 zCK!sUpycY)I={TE=&nL1F0y~C`RxHUmWl5b5B}jjPZZ}WpDXs6LV=ma4$2O{_YauE zdlc=lUpPIT@~v%maCvK~ONd3*Nh_+TlpOprvg?HcA+-`PoAe2*JoPir1L$s}e6o$` z*wZ!9E#~CHjxeK%(&_xrd#G;HklyBjVE*oK<9V=ND@$<)MKRuY)4MlN*5?SEeJ(3% zZ7JA^nMi}hY0_lan@qIFr8!iiBYV@WRobvnIOf! z((&`0#k(h=R$;dF(fRf1i()U{RQ97klr1y19Yd#%=Z*Zz4^r8fJ?#Jskh$=o-A*c@ zKc}x3@!v2W8o;7pDHmpkk5Ndj1;7_}V!BG8C&llnt)9aG2?K}}!Dr}qyb`^eH(n4P z53rYru280WRE4N<#?Okh)E^#FC~$sLXqea5@hO_p9db#|G;HiLtKd}7xnXd5h55I1 zv9o58zD|WXU+>GPO)UE)Zh)j=iMb$t*gcV9%AR*>>n zWE1&4KyWOiEYDH9lc5sI3|?D>C^W>Xz`*b@K?P7+$%g4Nsy(7?Aj$~0gVc? z3R0MEVhq7U21!70sqwf`J*!geG&8j26PtYqFE%}gK3jA%PVtdITLOYxF*&Eu9!^9k5At%lDo^j|@c z^F_?W!=b;P#(#c@blLVkZOONN`0wQjm2uakYfb)VQXqa`ZI8=!(kIUcaRIQtG(+Vp%v35z~;_=KXdGTXLmq(7$21n07SD%qD=RIB;bP3oDb(^8My zypxqjCVIuB?vCw2zpb6=d33C0m%Zl#C{0JY#S&mF;h}WEd+d1UH}0Nzsqx(*In63X zAFdL<-$MNG2iTMEa7>Y@2_R}8JpcA+l(J=17>BLHv{WQ2wQb?s>5huKTBtn%^1^tz zvlY>i=Lt?NOKekqm&4JbP!mY3Oqcyu`G~S*IVCu3d1+@L-OHK(k)IwRm00mWIGrP_ zNsSlAuIIz0{aO59;ZDpiOI7Fe_EPkz#PyW& zxkN6+6AUN42ywq#&(w(HZtG%+{|S2InlALAWpbKkp+DVZqezF$4bNV$^ljHlr_MH| z-uRQ>TaN@1Yw@d^5{0k(9;0y>O61ptwq%HW`(5#EUOv=zm53eJUcWSG)S@fsH`m-F zJ@>6j_}FilENbjr&kL=I$-{eI`QQ0lRQjwiM9;*QSch8)l?*Gyqogr?I?0(^DBv`b zcyEsKJ)4P#UDdhUFg7X5@n5lji96BTxzRPmc;lU{It<13mz32{=s2xJCAGCetQ>Kf z#(yf$82R1u-%dqSy0QG4+|M5V0L3>wR-L(DEqXfxHKMr;O&PXPmj3?@Ok# ztZnY9r7&0w{9)A^y5+Dr6PV8(7IsA%d_=yz+ZVEMhijpJ}8DLb8ZWzw8I1_9>y%J8ntmERfx<0?2si&7sl znPUR=iY6tBCK2k)(@)fpKp58e)=Kuoq@<$MhXoJVdPVw_OjZxQXJ?;{^MHn;?_cSL zRFMZCh+^nclnDfz?9%*E!kOX$=tmTh$=t=RwxPGDlhqCW!?akJ)gce&JS+7MHM@l9 zZH2Io2%c~HLcy3U16u9%TK)KHL##Csoy(g2W8hmyWwFzRRjXc!7Iy?sdXom?UX#|g zMZZ-Qh}gnW;X_Est2Y~!h3D{i_%nV~9RQRAkwUpbuaLC!ch*t+c#r(J91RN00=;-U zW*U{!xEz@fBW1+BxUU9@+Bga$83_uveJCkoOa#QSFqwqWOBqq7XQpptGm>(eadOFj z+Z1>X;SyP+p#rG=`{Aa?Lya!kziH>JslLopw?94}lqznd5})}@iyTXhn@QSoZ}T|# zX|<-b=I(hdk)Ea5oC&%lh`cinZk8&3_yo0_OM0M|iTjK1L)2efJdr&1pQvu_c8vnV1`PeiRzWD*0*=%TK9`5!FBT18c6k>-Yo^N-Ku*W)nZehtTk zgJ;^kdAAX3Duf>}3|?>AzTi%OMHlePr<_MWZBpJm@Kh^V_=@$(U!^ajY)$M=?3+kUj^$u^hlemB>@8+$9scF?&Fo@uGt1KqJI%x%MIgR*iCr9JO3dxckD7blQ7}$5 z{v$u%ZMjv9$0bSpn|q!+Sn>;T>*wmv%qaF&;a{ff%k2eP=H8UH>>bSdrP~yW+Q(BF z&Y<4RbxGk37$+m>CQ5yoY+x_(Y@csnc71z}w>>gIcSnY)8SnN0%WHqXjJg8WZvg6n zL4aFC1Si-O#KTy1^Bk_I?xZZQJnZkke&rn(N>@_^#Vkc7B~u8)X7A6et0RGR4i7iD za68$ud{PtKrw)WafVu*bmS9{#{v3*8o%mw{ET#o=ZF$Q1@tu9BDL`NnI59A`Wh;rul?lrC=#62zo{@22=Zo9aZFTp0n*^nm(9hgFp+m`;Bt%hBV*$keG!k?})bso|N7OkY7Si1Vaz323^Q3h1O| zOLB8z7b?AhHf0X(Rpi<6@=#eYH8Bz6nT6YhJez&_6+g=cQr8DPU}!3W-b%VyhduXO zJ~yz_Qwei*)42kB0@wGpA9N~T zL7p--KaSrFEPhXbr2zGtyzwx2&SMq{-I)uJg_Q)QW6TW+1>5eJ7(DPtHY94Bu#7gT zT_j4uj50Z%k)1fbdU$Wx_+xMO3QRUI<(#F??=jXEQ7eoz1xbjj8EbEkuqhq8dUP+y zbjg3RU9w9*v#U4j-wSly^!UUrA{bU3psC{-f<~Q%XS^`?;eLx>Eb~lxPvrwC{U=i$ z`|sP+d1Y_mybUthWfls*GmafR^{Z{`ih>l|d!%U4Op$TP#60}VRMUeQy+$}3Q?hKd zzcP2gjnv?;;43|E3VT#)ce|=jadISkW>_=z-|TkgZl<}VMp_=4n@n=P(t34239VJ= zPKX1hbs6Iaj@(XKd$x5-@l9QysbW9s<|0qpeTptVb<}-E%G-U(lHW`F&0r&b=Q)bV zLL;~RU9p}`3tiEdH=EJsReu|{*5nE-MhIGtvz~1}B7Kz2wR|VDY{Pe8ZoBH;Ffr=7 zi~Gq7iC+Gog{j-uY^Kj7f_d}%)1M`@W%Gx&EK+b=pGhj%Tk48?;irgD-1x`-qFm;{ zw4F0)+dGOTNZFA1#Y0(f(R(C5I;ZBj zH2P8h`{l&+`sw+TG1A?+Al-R(JV@OY!o@ItbBS7SFviXE>;8e3k}`xR>H_yq)36S* zmtGx47#AnfLv+|HA0>=b5s|kxd=G`l3W=IvcR4I_MhRdG_g6QhRllq!+BCuM(?AfK`DX| z4ORxTAPE}bzrV+!Yp^zKli01qzwnO2sY%s>WJ_14Ktui~_Q~a6WWH!Xo5`QY!KTjr z9CapO*^l**ZI692ZsX}mJS=>yI9cj|uyg^W$ckIqW%A~}99pwlF#t^g?(wy+H*eGi zd6me}w#=}ki5Hj!qAI%nJW9Zq4gd`LqtC7;h}ADgpHpkZy=hPswg*1?uA{yco7}fa z44&U37Nn2p%FHH^?)$^JCPoID(6ZMjMXY%-Z6>YYkc$9sz5J6?_=6`K*YKaU)JSF6TOx z{`P}x(_#()C$vLAsM?xA#rz)9bul_RN>drZv-H4n8n6!sbM|GLQN17q2eXo_rkK2J zqa6Ot=t=(PA>RwIgLjh7GCsqqA4BNF7Z-*Wka2q^L^qtEV_ zVB+6lkA3h)1*2y58xk7?(73PLJ`T3FZCM{g^@zTxw!<2{bH#`H>)$=6LpVdT0;JN1 z;GxnuK{MT@C3_j@ zPJg}T1T4c-gf5 zT7Z%D-$75fK%`(+aS#1QHjfi1l>w#0Hr52Zz)ldevW!hDj5Yz88y-GvpjH<_HuGLP zmDhKgG5hR|IeA+!&_x1D&)n0qHrxXl*9qB^uh+|^Cgx2%JgfZd>;Hum=;g3XN`@Ba7ilt4{;p6vr2i^CUdzKKZG zOUYb(2|V0jZ#Mx?Vy37Gklw=!G-q_gw1(+$MO}R?u;=0cQLKG&-=0W z9l+G?LMo`9*5FHs&TBeo$D$p)gzqICJW&&Mb|mkaM~~n|>j0vS!AWU_UWqrjAEg@E z`r7N84Cw^)~-NA`^AhY5I}-4vuEKqCTav*IB!K_X^6&p+Ng``6d&@b)^fS zq;4#qdUjYCdCR@v@6U6dwF?i-@P;jssh20LjrlCrTsDqJw)y2 zpX%egT$~2xj~XG+HGPtOhA5)TLf0{+hbh5vqf z^sxB-eP-MTq}YAsG9wF(t#;FvqXT@nYGZ^S93%E7CxqXy9J>o1kl(&3#cs-UbNHCl z@)=Bq9;Ou`oMAKa7);95j zaoQW^AE~sDxv$g`kL2wnr27hM*b@4ekukQK(RGiwoPp3bx?!ga3w|V=swkjIVxa|M zropOFzUdaLp(SzAH5Yc=;&&-kP#4ifYXsy;q^*fap|2DQD8N4p^K>P|L~lA@i4bsG zkKClr)}mH`Az-feqjH{fT7ows9jcMIyrTM4D~~D&fM?9mIe7CrG;GI z1>K<+kDF@{g)~GYn=AAe4JEGmj}|}Lp7@Dl)eoBX|ByEurZu@+w_aZ#r$wYb96OWa zOCqWey*@Wb28`1pz%78hFMh!j_4JdaP-VFRxGs_2)>imm`ap|p&&k&tNKzj_3RNC) zAt8@pyP8MJrGJNh{Qc!c8$wbZ&AA`Ol&Ufq>&x^NB8zE567G?D1ISGJtekL4;bAj9 z<`L#wB>pr)C!1ViJ2ln++DA2-1O@C9AseYLm1_*ureVBv%Y0A%YVW7>QhntKf1>~A&Vkv_h_6xLeaGsDrwFZ&k z!-z#_T&%)m+YU>f3I|UjOmtzWCS`g7CKt&V{11y(nfSb5y#)IRbMG9WbzwYZ(YN$* zpha#1cQErzxW~mCrWfheF!=ANb?}wbUM5~PcL6SeOY)f#@F z7SYo_{xx6s+*P@jaPSi5P<;Evobov0F)tEZf6c-uS>AmhM!rYGzzr2mOHPiPfN41x z*l~r~>&?hZ@LstyIah8ZjD=#Lq!jzr{I*w*G0txz4s8ol8_XSHEH?jYJq~wYzsb8w z zDot8Ki|oh*1k6MBLmjgd6*^CkJWn`{%q!|l#smsNd2^d@Cp3O+!XzFj{4lbTs+Ew{;VN0vrb<8Pp#ba0W# z<0q&|h~dnTPU?8agc4Db6V9M0x;;rVd|W<kSvTi!&_pd_6+INwQt z7_E&U6$SLRjqh4$3|8Ii#03;)+xjrnr!=x1V3SQO7|hxa?jGqI6|Y1;L=7GlIoLDB zL9T7VH*O7Gi1K`pm>vCu!mdo{_0^Yey6U<}R9(zB21^cJ6UjbU^Jez$!08mJhYUoa z4@~b^yG<$|k*6Gi;cixJ27y) zCstwz2IkCkMN2{CtYs)SXH-)R%kMtB#kmJ>^afvoHBXhRTI-BGVO<0+H(!Lczj42X zO|Z0Jv?juV;zS?R z6xAYEe;)f)siF@|C}Sab}v>2J13)Tg%Rt}ZTB;_${H zObv#_Q?B-lGR2CFbOk=z0RWVf#xjH@bx==_Tqf< z%i{@_jXc>$jJqFgY3^B?hnp}P1=nynZ(>`m{C*qHzDnEQ_U&uyyQN@dC)EK|6)Qnq zAMR~~ru!-4=n)u-5pBXuZw=Q@$CCQrYBLnOQuWP9s|)W&oMlZWg<(v}BW8E$3ne+a zItJKpHoNO7EW}m9yB%qnsW74{%qR>?NUJq=l%T5}VdM-@V#;KJR zsMQ!v+b7nKb-~z?GvNzmyUHKNJA|MgvZ)}oprTM~^!$09i;!-hZK&mO{dvbXuWQ#; zJ1185eaNw3@=#4^(5}b1;J1#epJd^C?ZW$UA4rZcMSZZawj-rhv~JBKNk%ZV4?Fk%uvlk_UMC zxOIjC$%1P-YwZFJe9IIXczh=~8KbxBYS?*)iRGx)k9}0%=nsBTDD<&M`_7hwqh1il z+<^nXYWf@W??2hZ?8#4>G858{HTA28KshxQt3b;vm0wVhWqXxva-*lGM|$|5d`*G| zRZ)ckwB^mUGgKe~yV}eU8gey>+EIqkrmI$eoioP@Kg?erFXE z7S$?&d)DHgGV45s+>iW{jo#i~qVXm+-O^NKn<_@yMWtl%EZU%>$Q&1z=($vAtN|T_ z&++DZ@~f=54;|K8J*WuHqk;=ECBGb?P#t1ygUm*=owrU>1R#^24Hn52`Q zxy!cwDO018nB{0tvrVmW%9^{i^d}!n@C|gkJLag*uZq>HX@%eXuNHt1U6VO{ptSD? zn~{UcywM}SmWw*O`G{Ms{Zq@8k@aib;Y^iZvyTumpJH4e=pk}kBejLBysGQW^Q~!4 zT=(8H6^xCIsd?Zs_PE?ONOnBu$L7?6GcU5Nw8c>!G14 zbjP19`NLSgO^sfRA^k=tvLv2TB-K8)b3#kpE65E+Ya1u0vc!RYbV{6CfuYi_2u<*i zL$En5qSrz~UHxOe(V9GfRW$wM&En-5K(Tk^qlw)imN$-twt&GDb6-65f*K`l*^>?iIfCh6NL&oYtu zqm#OM^z5bvMHAC@yk$-O?~M2c6;w4kyizB=$g~$uHqi^Q<#dzd5AGa#7has-FIsnu zqskopk}Go@8%frm;S=wpQn(>$$FnbA37o$ocjmC}s`)*r>la#K9O91FwpE zyhgtK6Jt^~$B@L6RpAcP@R!r?ISl{gh! zlJdP-%_Wv>1)c^}>YvA0d%S(`SX}Xg?ahv zb3AQPhkRprP;sX9=S*g7LX5sWB1X$Y2IsEi74E{XBOeU-lq|FxI@y&Q!kObS!?hnE z;^{V_0<1QR%RN zPRZWiZt*C7co6^fyW`w#?w~45d;b9|H$x_h4leL!G81CPveSr|?^(oH>drXusT%hG zH(>f9*5(v`on^BJn_BebubGut6zuV<4Ht}Ue3;F08~z;&xsE?@IS0KLYNq)Ki!Cs=yymztg=jzUy0?c_&0 z9XlS4=2NvkH6fXpSI6GkU=AMMKFvy+psMJIZ8mie`9 zXJ|A2eyv~NVqRZ;tAnd@zi(~K{z-~54`XqhA$_7(Jx?4M^7_{r*xAdb*&5TW>*tD^ zn+@aFHRm_Ve*46eH$pGck|Y$?X_{Hnu#b{Q6un(6S_@~V99|t?l4yO9&`l!BgUK0ykGlPyuLlh_Oh3=O;=%PThjZrRYmjfM-K@Lzx&5lyvYQt zuyDO}UG4UBprX84eSEy;@ARXE z3Z@;8vgYMr3Mm4{_p8ohBr*Qar-U0Dz4nO(xw7Up=OI#WVt`Z`4>t6-iVy7t(&Bn=T77m_BXUY|6GKLBd#>#v)LOlD+3UdmD2 zbr1+m+WcZt<2=8<{(F7cXlQw%P_SR<9=-rTpnj_a@@IjGzfB3Hmytx|g&mJ4R4oTV z5A)3?jU>l;s39GrVH)TV-#w-c3n?jT;3X!nO#nFnq!w@%Qt+}I^N`~Jpgpx=dftKW zyK6s3fBPfgFrMbKL!X3y!TsazC;2gc5Qf4{t8JKuq;LbT1&_iD*F6v15H$WDuiO@P z=I7?hA^bwKSg#SdZZDb+yBnsxyu6@4LDg@jZEej2As3ow7trMeu7_?s1gn>V_yI5w zMKAvXl%^aYDw>{???2m56}JJ|V#nyK&#{$iw3s8+i@EB8jI1N5a1o&&PuO(;P9h^O zFYnih+FVSWrhW+Y4hs9c)$~Q7U=|T@({67Lc2wcEi*q}Ws4&m<#RX_#VUIWs!V>Ec z9?0+1K-eA1L!3$L&9LdDu*!)Z)5+fdsW$~+rUhq=3j9GfuR#(h;RHAO=2VC3;V?{6 z*LW6~%FTMfX?)movK14#70s|ArLZ$%@oOfWS{rKute(lj4upW(ve!I`lR8Fr2@HrE zf9gOt0KUXJ*86Yb*5mCiW8{fQ(n|NnZ%R^(?N0S?sp*halpLu1g_&oJ3 z{MHD(yDN_xaX&H;Pk%DMT`8{PFo?yDLl$wyz$NsTY?p=LHPtCCOO-jNdS=A}3@E<8P#Yz?JsxV8 zX)|8iTZySoL#oW6ih5A=$I9YQ_l{k-aF9|i{j12k&2ZeNIu?7%Crd6;(8ECGDKLZV zCnFkHN^klX8*QUt%@r00F2?0NzfCs>34;VF4Fc)LQbR;Mbz0z>1GP_T`?2Z6aM!Iq z7LyVh^rr-3Y38GxeBqwWJQ11sK~J)6=zDTaDAuD{M$bKFu#_3^KgKeNrwKw?l}vMc z9D6}X>yXVEk-HW2T6R=6`F@D`yJxZHhich(7|0Nab?*GqqZ#J}|DybC99B6p0Xx;va_zkp@Rcn${b~Y!#$B& zCE4wd&c`oEqq-aXBu%1{MNo#n;C}QGS%l=D<%!He^AuAWbVFGRsSl2H@F@(Mupj`k z6Ltxj#mkTHw+dCbWVr1PmuG@BhvH3jW>p7Mjv0Q=0-`|7vM;oQYwms8V-*Z|oj2FiWL#4;vHGQc; zas@D@Wk~zTtPX-nMyT9A*V2l2x;j5JL#~qT=n>WWjCPEk{J7oyDn0u{pSqpww?w z1!c)r0P3Mm@hBxQX@D^aBE~bBXEoMA@af7gLDy)TNG$T0P`Yi)o$u9qAW>}&*dZ*D zoT0?rjOm@CR1!eUfjSAON11(XpqM#`a(X4{D#4P_u3Y}Xa+Vb_y%Pi!%P<0_LdIMe zll+hQ{pm0>ohg};v`VRqfXD+wJ@Dy@6J?VW8DCw_{~$(JeV6i`p1nj%|5t~6H;(Cm zidh0oSiVMp49ghbat1zh;cJr`y+h!Jk{|_Xjk1Aj@t$|um=kkdAT)s$mZYu7z^u_y zTvP3O@+qJ@K`kG8$wYuaT)uz3d|yhO*mPRN_N68Qcnx63G_$af`f2iK`K~{+JX<~C z6ok7#bT(xF{V5zyN=iBmyniKDJr0d+0-gpimDIrITUbtZr zpJTv}eUcQqX<$?B`~SPl6w?q*WAdz=yhXz2v=})%t233MYhWqnFkg#|O(03}`U1$M zR~te<@M`weboA?9bb(q8swG9GFtt%!1MN^tW24?cIi4@umK>K)FpznBh1I=ky!+5Nc7fh-r&$G57bNB#7n{i7iy_QG|M&6fAA+l` zwCe&pEs?>OE1h>@)|;Wi$H4ngPTrRV0V21FCRhZdzWjxUz7%J(sORU%LtX*tThIr) zsj*WUg!emJF=)7qBdMPx@ z>BZnVX(yQjn&Kzbd3hM@{VUKLDKP_E@~i!qKL|K-wc85~;|!i3!-Dr&d%@r~`zdu9X~eYuhEOD=X~LwQz1+! zP;9I!f_V>7?!;b=~;%c>w_DvpD6E_y|?DDi&T8VLAFylzn4z- z2Ro3^_}O05!Jq=#_Tm6jtpC;f<;0_}Uw=E@U$v{(i$g$IJy?D({SR+2nz5*+pC#Pg zeVek}#xj$VH)@wLx_w~%&@XaXC3(}SW$CtA9Ygr+>CFr6ed%umy0Z1Egn8fZdHUcG zUO4~!m@wil9vC5r_L|ZL?YXnez8~jP&%~8a_Rq~t_Hb_VNrTQ-Qp>*u=P~$s#6`cDhGD7{Bpq53M32pavoIF{plJ$C zn3xx}Uy+koD^Z-arI5>cE0)dYN2^kE0e>^BXs5JA9+Qsc;q9`pmnVy>@MtC=_XO&W z0u^^WSH z^iMPg!Z%L5&od;Gq`#y|oGoqKbG~JK2*%-Q9p6%L$e>(hCAdy$YMZ>kniG!uZWOb&0W-gi2POtI(yiZATaUab9_*u@^C{ zv>pb26%QE>`XOUj*(Qu)!b0u;XdHnkL>pH3VZZNzaqt#`#-^<{rP5=WF8Gii$iOiZ;01 zSVF)xN~yL}VuKX;bujh2USbP)?0UGPCmsyEdJIbDVNKr0(y!_)@qH5|t+LQcQYb=q zU5D&k);p6nF})AG%Zbvgeh!~iaZ6wM?Fdv8e)zu5SnXciRqUvRuuRHz{x$IudEB@d zg^Y!#wA16BL(9B>u{w)$ks2R}Z>>rk)Z+C&Qk6JeSU%d9z5H9}S<=N{YN*Z-{2Y=8 zK|TptC;-M*b`6oP(CJ(adD`)mN`UENA)ZnbdL;&9@hI3FA{!zuYry$w9LN1}+$yF{D+?^-5sfi?h=Y{<`E-${t?fo!DGkF#Ch> z7z9#qV|3VwEvjZ4tPjC_ruaO9WWdwI14PL2Xw5ZSr*DEx&Omzws%j*%&%6h>*X@qn z&}^amm|O)=+W>y|?#~~eFAFaqQB?g=6j|@Ac07S)K?)~eZlPBrL683yW?Z1J(x>6n zursW7FZ&xfZ^tD2F<1j~q4R&xh+|^`R6?Gi0V-g^1ItKNwO+|jTpy4LLlD%1&x)B4 z;34F>8{NbNgQEH1;NbGN7&`b=P+;wWurP))bysSLX8Wj^A^iApARsMiQxTQ-XnVuCcr*Sk}pXb>ac*BWvoA!yrWPyt?=s$GR+WH1t5tYfqZ2S{aV>y zNaUG<6|i8IfA)TaiV%d2b^vK!`o_c9$2xL4ASVYvFb}x>Zr!?7ZadBxqpV_=&}I_t z5CV@IEXM$?UPN=65l>4_08`ToE3vrMC2;<%tjRa3R zX_O31;!0}Atdkkq0qY{}oA=h&*G(#P$^lzHO4itKiKK7B_rG-f$E0c&`TfIJEq5sw z;L|FRuFZP<9CF8yKR&x$b{0TJn~tI~}_lCd?EiTuP7%S&y6{e?a| z6cZ*lxY)38Ql5&xH*za9IU z$$?h~yTx`|YoxC`aQJ1b7GIWK?a$86LQxkY8&B97QANM?O^z+z;k`ymW-9HHl0eqA#3&MBU)TO{R2gk0pR?@RAyjSlr3bBtA zFYH`O+j!EyG0{iFrrueXlxJcUe)}YTWWiraQp>9lhv~y#TqP2oP&e%BuIZqgJ@+ao z9d7(<_Q98Fc<1~yXy`iScEbjPE{x!Gqmkp!{6lhGs4^)d{8M)%8lE>}0X6mpS8Ta1~LBqcis_pZ6-dV7}QSVt4+leG1 z@DX{v>xA*lMmtS3@avIcAZj$2PhJiqf{S$~LLS3G^Tkx=Fze&nNQOn%^hLL)HExKh zUge@;0if*(zcfa#%(~4$vGImm2`RDv_SFP`bYi5qQ$hw1@hi=RW zkEqBY@tmJ>a_^@@i~P?vF1JBBz<5wTkMTyr^CN+y;SRU?=aPO*^lq2aiAI=No!Kqh z+)N*G+lL4SU#8qZAw1F-v9UD{BJaVV!epA!C_Edgd;k8U)Jw6d@q(Muuo8@z?|iqn1Qpr zYM1XmYxk8-VLqYF2@3o3355 zUSdEhMZVcQ##%tIAt^>opci`Fz>>E#J-XpJoqx#=m^x zH_75gwsCf{ z{Po)(4hM8~j%$OSSm-USqc{Hk7Ig`Wphht8J?P~=mrJKoeQ%JTt$@vV?CbD|(G>HT zbT~DLWB*NvZAi$eQDH|U?&m|@WRbtrb5;(a4=eF8b$amwX9I9|l5FBqI3TH>F_CY-x55=e72Le}F2PZM zlOn>yMzP{kgExLh+Uw9$L5%eX@+$&6qN^Sj#ACLpcsLX65O&2t!D4rh!>+tLI+*iQkN0cB(*Uf&L|kv? z=bv+)k9{H)3O`Qsiv(w%8#>h~x}N2@kv2j_HG=24c{~k{uo6VNhf^0 zW3G#qn(9P@8;91aXml5+E9+UpjmHV?8i%OKO&A;w7~d@sSaUXaAOiR1xy7y8Y`hLK zg484@gi-|#O39popog38)c_;6X499}vFZff(lOed?>9z5UX|w*Qpv+;H|n#?klG_; zUiBq+9PNYdVn#dYyaxUsQ*QxPWgB!2qtdOUq$1r&hafE}ASE0Q-AH#zH!4U-Nw+it z(k&pJN|zvwqzd{E&-=aq_qkY0*5RCU-}e=B&Fq=k8})Fef<}&=jpKX1h9HjRGnRM0 zP>`?8n5!GY8Jgc6sL}7ey8!(S@smTZ_CJ=IU=4FI1mv2LDQ+xH6{I})MLF&oJlLTz zajlSPfi+y`E&F7_P9SY;aS$01G zi`j@M-=;$T*NYeUa~(2c;2B?c#?|I1m{;j)?jGLxTP~16-M_@_CRKc0Z=}VZjep*< z$3!FecP>_?WUoX;;>qggXWNKBew88O#b%s^lPhkG&#&(X7%pYwvK5(kA537o{(sk_lHwF}w;zcw|Z8__|YrV~n zA^6`~fKq8LG9~L#H4b2;lF%C}Ex<=Js7e3*ThWANjNj%ty{zq-o+7{XgimjyP|zbg{igC>WT5I1+6t&wqS#5I#Pi;2uS9#H<* zHbYmRtHa!)iql%}gD1c*x{X|WYH>J18xZEf`CDu0RR+r{n~KZUB&X0YR6twRp)tk&SY*)}_@BYikxItDMQ?KQ2nOv}xK&Y>{zD4W??kug% z{Y5VWeeJs%o}V|u-yn@t_`|cN-gQ{tCrg(;D2DC(WhtoWOa~J0@t

s!oxf$sUP>*`B)(dz=b+QL@RNdT(a)r*u5Tt^R>3w0~!34I+5?)W@t}Z9Gn< zlN21tMS}0*sys-G3|@;e*M4z-VT_HKT6#_Yy?(fSJ?`VaCo?JnhV8t4@`wMZsgmaV z*@%m&TcmEgqYkJAtEc};^fNV#&^mj6w*dd{M87Xa&O$?%QJ9p~y0p4TCDnoPt$?9(=ku&nnKbZu_F zwb2E_r{uva$*;22Y_gc;9HEe@cNL*lr!K)NziQ><`VqIWVe)3Sj>u@Gk<43Du*1T==s2-QGE5!8#=8+uqWt0T*@8~TE@iuY4tRa47 zki=YGBV%mZ%@vEnH z9+(FeGm0@93*J%zSk5!p(%ISaWk<@zR_=3;L zboH>N?IgqNO;yu}Q#7ksqZ3(HE#M=6fxt^lEQ-~THo#{oepprNPW9{8s5h%av;>~Z zINp#^(?rNo_qoYgx|*fG1C&xurU5ggE?BHI*5O{)%P)h+9cz^&1~fZ|W}L!~2=%cMtP9h+>hZ^9W&z zn>gd_oguP8A%i z9YhaO3rtI-QwzqHmR^CT1UGIlG&j%z0iMxk)2`fVe3Iqr++<_&S-X!RqTVFfvh8Do z)A!TP!`)7R6bSmFoPSGDR@XE)r<>MdTBZP7N8p*s;Ae4K{qQ65X{o`yDVtlRn_vAu zw+n&RR8rnC5Ks!II&U$_q$2oh1sMreYx3NrF;#v z-k9aq+w+@5XaW@;hjF*hMBiC)enfP*rLc2mJ6E}V_D>-Cilm5TJbZ+;e@w5?yLU+- zw^bj#Ve-d_t}$ew=cCTU0g|$rptgUJoMeL}CARTBJ%3FbQBZ&TAo?KPW$o3rM4JM|0XjKuMXy^`wW+ax>~v%bqMmnkEGvfLf9!OxRyYZh8Si1Ebh#qeZT+~JFF}{UmCzeO z?+WilIXt;kNYNA{9`X|?yZSCf@rnHwtuk7$F$L|kMtG~ zi(h)pNuCH{NKF(459Di&I*zf{{yy1?2;S-VVaikI%Djubc|iLp*g)mh$}fIwiXIUz z=PCMHMTzuU3XTwbgFFHIP5Kc+M;3%bdDu(6j`j^&%r^@v*7Qy+#O?2shh)_)SUL5m zE_&n*JCuIi+Mp;urrW%e+*KiklPzE0ow5EVOKY6G{P>=}3UXCrE$Ati7n2wL7BOzv z&cbJHA~hCtQrqRsYww6X)3f>Wqzz&=X;p7Ks+yHy^L4G`zvVV<+1pqRy-M(6Mx#H z7ee0VzJl$ltDuxdK}iWjjOrR1LCww0FV&?BCnPIoFsMEPKjDoB$z3ZE4bBE7xq`e2 z?>*-B+`CpBvp{xTAT8EI?9n4?b8~a5K99q7q?~gvp4XSx_oc&d?>;p948QcY-Dy3D zL*9LpomBcb4A+=D=H`n${1%gqUx=2$GuHeBYK!#=+yl4>o>l@im03+LIS9AABYe`%2OSQ z6epBg+(A=_zfpKle*+8_fTuv|(2+qbEG*2-%DO7-X4*eS|9?mB{|w=6EX77_v(MyW zmqy>LsBCuvrLx=eR-5yVn2Fm5QdxI}=fXlCC}pesEPsPh-ij@+-();(tNt#HMX(li zUhoAjK5|P&%bv0NS^Q2}tL3)Uq{msu=DDfd^Of_Qzr@(fagh(IN{dON+jk=y* zlyO(sQTnG2t9wwn{#!-VkElm|nq(?^gEu*MHB5 z6Gtzh0h#r6MdXG?v1U{-o$EHsu{7?I59Dk!bf3ntMZ9WR7G#)J)J2*0#Dt+%#!<^( zb@?Fo!@|W>!2)LeyY-SFs!RVPW%#soGFsc>3IBZe%bJ-ib<-{a`w-Q9siuWUTKkJ2 zmp$5KgWxxf&rx3bO#6i`W{tK=U96mxR-TmHKIFv}FSG4Vb%?`=QvuDH8XWYWwgIkX zSpR09kqH%OupVyef6o#Vy@j%DNq+g_T-{FGi_^w{=EWX5$|Ui|YQ5kW6qNL>tsa-O zR{W5$A@1!eiz%sL{IzdMoR{7cGx6U>%4SyUnkvGz^Q8*ALN^8WfF`9wc(bD3AbM4q zmrDF*De{W=txWC#k&BJv3oWcCslEE)+egzYVeM6+xr#>@yIASRGt@%;!t%Jf80Lpp zt>QCb626VJC;4&wVxrUm2zNXhFIyauz42qc_doVAxGRay>YB>slloE8X2QunQeoCI zL`!g_1(zs^*0H4a20Qo$a)ygq3~#Kl9R?Shw!iF1KHWU}sZ$qt-cvNS+_+QG%S-D| zG9x-Py|Q&_C)Q@BJ$wG*szvZ|1WLNlCzs}Z#=DPZ zNQKTuW2zdgmA#e^v`cS2ZEm8bdH7LziA4J0;f}1%gNdTP{hAM+e+REnUJ}qCq6l_U z55N5-3bgcEU4YCky2QI@!Dz<6%LsedH%+a@=8c;a+mcS{G}0*0T)Bb5oGJ&~)t>5En1uZ! zpsmzSeWfm4b2zXV=(MYYQ)sMb?Z5mjwhqtJ?_WQZ#=4$)A|z~t>WAEEddW^VowOwJ z%kT2wa_yC&p?qQGl2I?XyF-w^dXm5yj&uIuAL6goz-yi-YQ>sa))arUCW%n8CVp^( z=H%Ptgh>aaeM;HbP_iMQ+sH@Ck#)i=9^TUwpdrOClN_jPlI{cjO?*a9rVB69thWhst@+PKx)^9Bevlq6z zmmCaVj@EkMlqwyaKXOZXrjD8+_bKqS%T(ow;I`wkFNVLzwfE7sJ4weZu~;EW#H^Ft z6>5C9IKJ`h{lR0{`T}W0Guk9;i^aLO`l23cys;mZbvuhj>q3DE?K!Ew={q$Wgm=E! zj^iWtksITErdT+POFIrc$P7cQkH<5%bkxXRd$#>_KJ4gVs;nRA)b=!@%Q9cMw~xLP z*vFJ2R32$lHIHIRblsb=TW@pFCqVyZ+tuM+hUAugI3sa3X)LAA>7Lmb;dYK7sQFv{ z=KTl3GedKG#)4#$Zr6Xfxikmjm8kN1pAJ{2Z+RA;xh~I6b>UQccbHje-gML=sIrLw+yPp5XkBjQn&|hP+gb0xZ=*e8mN`n04hRkX!q;&N(n{H_5Eno1U8bOccks?pZi~_sn`2@R9)Z6&f z^NsN&Mnh)0=xrU6^~V+JYi$l0w7QQw&Js>Bo*Kuu3xbn!xBpfb_w9_F;^Ja*34bxr zu7B0pDFx(9tDr(>Wo7jka-vV1jf`K(;V(*p^A&o ztU-LC$Ztn%ze9C@^$nXkkx`hU!yeW2y{c6*oPy=Bwz``rRLGm6l=|ES_b||`S<-hj z717eg@4zcJPiySvBT}{}RbC5CED$8921~pU4XMb~jr{kwL}0n-Xae^)0*UgtfTgvv zgRd*AypEDHsM|sCpr_;gQs_JL(AlZU0bz%cuL2iqxJ#a`Bj}}b;VqlrmQQZ$wiqcI zC7+-1k_GZ6kF*rzFmsms*OQU`-p#V2sMviJR_DRdNr~|k&0S8kIb=PfU&_ei@bA@* z2tu%g*h8hfSK^#zE&bWtc&Ojdp3@OH4p#Ld>Puh4xwg}e(kKf|Cn9SOC+1%q7JGB_ zlHf-kEHJY0xjt8Wof-A7{)g>ufH%pe9}ef>;jU}Z8fLy%j^91AUvqVZJlV`-1S3@8 z5_ZBUC{{l_P*RGb)F?13 zCJq}7&7*9A_)Rpnx5OTj)>$Jq(3I<-tcRc=wzu=2KL&E()d#%ITYdIdoAQUht{QBe z`SI&rSEl@!ZeH~B9N1fweXZ^p^sk)z- z*mj|zV4ft{V>QiyM|E2Qm=D0e16WE!Lj!e$ha8uj--h@hFYk2?VRTOru(zxM{|>;X z&;{~DMJ061Dg;>(4qHMh`{i#9nQ&l8mC9b;Jv;s73qpn0KaGe=sD-kIs2>})!mnSy z;A3bi6SqCEe8gw~=4zDu&z1Xsm%c*a?pEUcwL71v?3HYENsfw7FBM+B7Z-xnh@+DfdRC1p&m~}4F zQhaM(xTdTBbRO|<-iJyeL-6|gnJ%rYf<%M8aqVq>9|iAkd<$s31;&+~Tr29;D}IKS zA>_O>NYeUMKyWPJB#!k>RA>_uGS)l-U?FHuE}v&kE`ZGA( zm4bLk%r)lnhn#frk> zYE$1>dNumS?T5V~oGHIH7uOm*wyng3J?ILqoPsZT-ripmy)N;AD*P{*k0?V!L)Ai_ z&t_{BUt7kqGWJnEytE;75Vrv8HsGIojA;e#MTROytQ-;MNSP)pgrD#uNr-ywWX$uT zz=D7fh&#kD&Ir&QsII(;^6=rq_1?GtPn7xJlA%Ydz78|)xz47Or!qF% z%H(P|yAOS6I!B)sL#0{_G${U8ccrE*{7lqt`0>T4+SU{+dl^j}!43u5D=u2YK!R-w zFVXv#46I=Vj&WX{!p#XYZZ2w5dzlExMPJ5P{(?6OB z*gJ-Mqf^A2QPSex_sC0px~tXZCwnry<#_m-zRaF$Jk~pI!p=mUX7SSjquBY6%m~%` zl%3J9y}O z#KJ8r(xMc4yo?Xla+!4>i6h_R$r|VrWO`asiU-)C`Tt(TA5Q7zZkKlOkIZ;l)?Lz{ zTlu%WxM6!cz=Ia;N1@Zg=R4QY8+_KpS7d)sN7$Y`?E#nZD8udKA?~gB zBN(sxZpG8z$~Jpmm-;w8Ib>eH2@r7&WL`k!eJPeBH zHYV>6=1+*6FkvtQSNP2m}qY@Piv;g{EO1p@Y3gfe^iZFlE+4PpN?T2@s#CHRpGy3ftg^o`nf|C%n z!CcU9Z{3JePZVz7u+o967<6vG-uU^;H}Jr$x^ec* zq|f2w|GdhrJA+HA`@v^ot5JXEfW`Wq;tyk1zKMuJaWW;Y$xQaZ_Q|$=SrSuTRUJ(FJHH z@w0Q)l9_e|S~8STGAP6UY?_qSdx=km2A!*L9wqdUlz9cuvFS=PR0C~)G<@ho$61$5 z(oR#3*QvK(=fLR;TO=F}b$S_&Lx23xN;jTUrz#H(+WhJmZH^53AAev!O@QKqw)}Y4 z=bP=XEaJL~hg(BJ+G9~;6(bF~Qo|%1B)V}7MaVsU&pfFH37WQLRP!;|x}G?@=OhiZ-v41I%#n9`~aerN%9puk6O zHN!8Dn&VN?`oFaREQy}RKkVR)q5)VWY(b*s7A1bB;;ib=4&o-TtMm%k6be{DFQL!1 z!{{;e&?6G)g6=`7BWfdLbrj+qMKZX_ai2VW+GfJYD*hhOVGiyUY=Os>Zd;B*Mi1XX zmF^mAGPIQ}*uMfIz(ylJ3ZhO>1oXuJvaHqveSr`hfkzk{J-Bw%ZeK6I?ktk=L_tAP zQ89|1Gw9xR#bjPVfyrujBr`MflcGSak~dK~%&u;3*&u0HQX_N+It8fkF}OCl^uyuu z&=_Y?BW!Pqo#r7l={4jG3TIHs3*UfFwXU(#x8V$}F>>qxZDYROQAFwDb`+w5CkW~- zmPt~=B@->r%g^uGD1+n_+!<#w8y&p!zlR=H3^N8$G}pOUlQplfuy4aE*O~$@W?!%W zI@+xq)WQCH^|eV?pO08(rD=ZOTA<#CxHQOH0oifK&MCGyhHbMlDw)az)EkR&h8YVX zARG4M#}B92AxLz=NQ=LJm@U5+_ot)m# zW2Fr-ewK}=2TK5R0?zkWJ5>rD$Ho)yM-Y&%IzM?s9|{Lg{$OHK5@|ht-B&xY54X!If(H|E zhbToHo`kfzH!Xk*e|wPR3kd-P2T)u6lrn7En69DQ3Yi4kU7=iBJ6wb+t}<0nvw|Tw z+90dbxu>+^25N0KAz9OF(@Ixn{c^Biy1*IF`X)1zCK>;#+zJV)F=p86vOB=|z3wv$4K zC#w7o)BBQe$r`jq)nPPGs6#LaKH#uCgwOM(5y1Ch}{$K=}qQ_G71^l{| zx5Wn^orRC2`+lMoYao867}i-dmF0fApvIDT+r{Xw_ZS~Ll~xqm1EtDMJ4&YRZ7>JA zH8%J8SV@SZ0v*c&zPS^kIF`?Z?GoSm7VwYzWuO+%zl%?t1tJ;GkrA88!$FGKGWA`d zJ^%hl&blPOs(9HYuV~-K7mS}qYY^uej8D1Wa{ElesM8NeSh0Jp)$RlxwtHptFuboM)SgpHG$>lhA5K;?O$B~H_ zbL9`LwszO33}GlPlNq`Bx{UrRIYhhbW$u4m_VnSp9tx|l!04>QHII*Iap#R?y4|4^&GQsL(t@M3b*&%OG)UN>N*}fI9uKOh7JhG&tbiJQ8&K7 zdo?ZB>*#E^g?p#&dRhFb5gkp>>cNNvbwSyHfPl%2url?adzfbC<_ZtDyu@fu&d;O8 zL529g7pk%DuJw?s-rhAonoV9&CRZ7>GABa{((OM3wVC znnUMd<7|J^$fh)uFPKS3LF*e-{$B$|cX=%^DU1{F+;e88r-7b5tl|!7pnn(*yi<Vf@l(emMXAEqUN?$xS`EfO04IxK1;{W(9jiP{iD~x3a7gxLxNXs&1 zPH(lSGcH5CNmpBGcoHuoCl^Nl0;J%pqidhUzin>su&Ik0Z{BsOLTJ<)v!@Dz=_|!I zZ_ImJT2aBpNIhWl%gh!DfX3wHB%9Q+9y@7A=+6~bGcgg(0T1KKhI#w?JXs8Aqy#qi z@tF=0S8hHBL&NuGLut6oDcr0-uH*~R{z}X|pz3vOTB$w?`1;TH*4>ABn90xzS2o)~ zNoH5>uyOZ`H?TGWWEPZsJY6*PIQJ8Kye~b8BYnYkof^8|YXu2B4`K|A!HvT^%^7Zt z8H|K+WaN(SRrkYAZAe@x>Z~~HG_Vp>Z%GUtQc+@~8BMz|b#{#8s{C zR&y=li&s*AGS$AC21@3JX@6KfIWU3%iJVhdc5Ow2h2+VYH3s7y_1}q##e|8$BDW9H zQsB$Zu1?hbGjk>S27#oL`zFQ=(VVK?``Z(ixl>IV*~^pE`VK4G z%63hmt!&u=^4|C#X{!jmB~pYaA=Y-D9=@xyQGQaF)4&h{mHagAzGs^ zP%vljRU1Bk@NE{1F z=0?6_Bb67n#GSTzlaZ0Zmut)o02Y8&rog)+Cp|n{Jo5nd<*G2GwS|C??&2W11?-j8Y0JXh2Lx_4C^Zg8T+U)Ni8t)Dc4)Qp!e~uV2t)D~FDVLvm%=qk! z9A%Z9^r8nd1A|3w?37Jnt(7#kPC$T!y;mbs0gvg`EfyZi2os+5BUgnO^c-DWuWay) zUVVK%J~ziUuuQ^5*2TTGw?|@rh%H+tM+y00c-@-k33M|l%Ta<@1ZWLJvcW$_c?Ok< ztsg($n3)X@56AUqGbJiPrc+c@v>>Ae+y&T4!M#)P?t#~21BnR_`EbBy zWIVD$Kzf;n!^AYUxXMPF1);%TK}`Mog%y%zW1zqPE-|&pY^tC(Mw#Xio2(&Jh-sX- zvB&+?J#}L?aYDM-QOlWrQB^Na)xn-Qe|{Y0gk+KmA4okPm}vfEIgEShu9CuIZ#jBi zYXQwpsU8;GGyF4{E_?HLFaCisgEO3!7~9Ok0-qvzGW2C+7^H!F+r;REJ?Vs>1p&2+R;CMI>Qpuf9~e-~>?aK<>-6QbLVV_>V$wdUxgaGc1A?#F()POQ59b#|$dP?X}f^7V{(^orzEmx7T zIAZr1b!MOtp`f4u?F}BY&xD%V+CTTLYrdKcBz|`lLBm7B^H>h2L%c5wbH&QairVNZ zVxWwWikn$nMDhs;bZ#Es=i(x`9!($Ui-$Rr;Y(I~;*SFRNz&Bx0Sug^Y7E!Rz*OS1>!0wMYyi02nxC>c|{T(%$zP@XKycO zYs>alkzrt9fZKIzw4q^jtQoE$dyKuOMq27+x`JKkWt}Pq4<#ZZk_9Ch>gpXkx7c-> zfB$YO@-pDmQBKt4uSS=L>y5%yqi!kGh2zqV5p<(L~K2um}aM<5W z)p=qFxcnGCoxn-WYYRIot7WUj(M?O~p}tNMmE+VQix^lBgtq3>qWxv5eNv1pt0>FrzpUDC@mo&xTK_{Qbd!Tj8bxWZnD{=ZL`V>gp2RLD{X6| zg>{KF(F`Hxwym}(z0@%neaOGDr6yEJ}JKS_SV7v%m;VfmZhb0A;|nkVI_{1bm44% znzrAyu~8u}l)6!ts)>h=D?lZN_8q$z`KqrAGPb28lmd2-ZQ1JmZES2DkB0UwM$_V*4 zAR++X2p9KQ=%&0z({V`Imoc3HJO}NSC?)0q4Idv-0lV4uul?C-%-jx(VqY>ubzUod zp_gSQvw`)s=(&a$x1v(4xe6>eJ&>mnJ~wx{9i5>TmQ>oEu%gdSA9NBcn0Lkj<~{5psAG18QNpg(GyK3+*ae&Di=qEm7&_VqQy*X z1r1Z=wjqp_pmD%i=l})O?9Z#|S9zal`MXT4G?kWh!ii zpnqhOsO~}NJjvk>NwQ9vD_ zg*>j%3rHPe7?hG6U9ss2z0OyKp)PAKx@%_c6@*iHrwjyGWEE&Enz zv67H-A|fDmIxK%BZ}|R^52-`zFUF_GuoGfaV897tSO9D`qBHTW~dVm z(nFRYDV2wOAQj&X(~!egv0zWu@>#A3zsq`2-=T#>OG^uDsu=^Hs=YuZO$Y(12K5W> zlyWiT9Zg7F9Xh@WT|eq_#I+zrvY~(f+9#M?uhbb(+0(nYqk3!)L}S40v1Yw^J*_p| zthW(h_NL?LWW#%dI=)Bg9Q$7$^)(k6LO!$s#2$oS5Jk6=jyHpA2jo#SaOQSSG=l)d z>ZA^PYI1>fZC&j>jz`qOk}p)_>8adB`bDN`v--(Y z>0}Z2#(hItpG-0VWvf45pDrJtAnt^r`+5sp(xrGM_I&2sJ{o>Ap=0Lvcfa6WgQ>!44ap1Kk;L*GW0_x|_nu13BDukcaF2JEu_(;aw1KHv^A+b0lLI z_iUpJ6Of=;45jw`S^(DlJIC1oCF1}S*osO#us8vGh%p}p&x>z-aqVQL?H1%=edhIZ zRTe`OnKv>DtbLDq$N*UE0Nx!h#27A%6apLxU@>PMDS{1K1r6$Xd3la80{>N=V>&}K zS5e&Jr%xhC>M&kh4Pvy?DeTgzC1l1d*~&o6*9@39DFy9i^z%6kSf*8DIpv4TMt=wII2`oWGQ( z@d`PfhxnLJMV`M^gSf{91>BhH-B0Q(2u~H>N8^L*<>f_H{sjN$vOt8Oe9g=b$q%Rjn-uDnUQ;1Wd z`E93{f!u#NrUqG|LrgYTp8jieIOF2?PKDQ|yNAcx`+t{AnZ7?2hJ83sMX^u}eUH#a z`Um59ukY(sz@=$if>POi#fTkXY1t6=`g*hui=zi9`-ks7=!OFHhHD*y%mgwNXv&WO zNfv5Q-odntG4e20Sn-BU;i-yr-_@(Pr=|h*$IJwkm|UHR9T=nU@Lg55z|PVDRBK+U z0zCNPY5=dIW;COWj*h+r<(1X_ri1UN<}3(471OKVr-24t9YRj4sG6)K+U1D;UkiKg z(GQJq5_e8#3^#?5UxDuCL+{UxL9dNFJ6j~<%@9&HyAV)|pw{UP>4)@)7@2P5Fk{10 z$v%Bba4-+F_>U`QT==+3rSqEyQ6-IF6oc-+I^X?z3jzV#ik758X`&BaZE(5(nLy_E z#F7dFPO@v+3CW=*AQ6BUhov5;7Dy6?&=2hZM*u9?4v+is-xE8*lf0(o$&5Sxb9Het z@$}lqcUtQ`a@DQPNaIsE=JNsV6{OD|f96NHBU=B9`x?)l-6~cNa!VmDhce^;tg#2A zuYepJr{?PyCmLACQ8_uBd9QQ4Ksk!X_rh`bz@&jWYSnu2y0inx4{=Mq;ERlLDFxUq z{5ZOyS)kmojRyF`nVH7H=O)Ro2<3ACXHooO`BsP5mgzrhtF`aP(@a9asMr(Z#72;nQoJb&r(?u;w@)V$$RLRZIPz zjo{nDN+ng^n_OIsyIyR45`TF%TM~b(SnxX(B_vNZU+-2LzY}$Z)Z~Uqf@htR=Z9H5 z(`!dr8<$?{rXncJ|63doL5Zt!KlgyXpom;~tcY8-u{Ov=#b;P+#VPqdaK@H zX}C#Fxe@Y#4mr&p2a6H-JwQ!}Me(THF%(%3+J<*~ExQ z170fG44IGh^~e6vADs~&w8QzgWL>r}7v@Z=WZd{N#k@2sks$*hK5a-qrNxcv573OSc9K5^1RLA?`*H>b7oT;mc`AVSiXj#w8v(RRP|&S8dkl`(;9Ndy9RmJJ z==!tz+S?IU>iK>f_t61(xIwCm%LjH_L9F2Bxh;&2-rHI?cLI+K$*IS)N1}R+E$sCi z^vT{AZo=}CQe8BPCHXY>gk8sqR>XBnt-JZ-HSgzqq_}RXj`Dl5@n#sS=pwKGnY6N2 zeCqdYe}C^pM9aaX&?y{)ZKmY)*!xCuBpk|Dk>ZTyv^U?#YjTBSeVb>G!F3qm4?2I- z{OwUkME_{B6hecqf*6khxbT0_6zhq{TzENf)32w&>; z;V-q8PG0W#f>z;JaU=dFz%Q)H#MG>lG}+&dzzM?|YZgeu1Adzrt8mPzIFq{jb2@Hx zq)gQ~`j>QM0)xUl-qkH(yxgN+Isr!5o9ZkJ3?4<9LKb~fVn!TMq8sQsTMLN&8SmI}r zXkFZ5RTTZN`;bI7FNt`q2}PJDXIWfTn3Gc!f>T_&?>)axJ&Rd>O0i7pggl?;uxs%~ z)bw?>h^y@#IcIjYJev+cs74nI*_Qh|JJFt8Ad8xEi!(J>aNOp=stGl8e~4Ro(wPV~ zFoEeQV_r*098>8C4t4VQCWN?3N=h07iA7G*ijDL39^JBBk?hGs7DT%T{Zi(Lk8URy#7m z$1%{(*iC{5GvyjY%voj4DD|F2DF5Z`*_|%v&OB(gS|Tb&NWEYURZ{lBvR<^>gI6mu z^ZlRZBm#tf-0IN(n=ygDUw=7Cd5Cgb`6+4gXg%Na)EWEwK!L(E~GC`e_Csj}#A$4F~-2lOOjvSE+ zF>r<_(+{!LjSv)2GdxE~{Q$bw?EcASsZWea3&YBvUGCzd<6=cQlK95W{u#(l`=4UE z7*xq(P3h8+5RLp$sp3HG_gHAehYd}V7jH+mP3AbZKi0oAzPM@S>My_=c1Vpca+-Mc z{ConJW}$(kDYKbcBv7oyfu^Jns@I7+-DcKpz7#XBUbPyTVcEYIy5m4~xgX}JU98#c zn`H8HiI|w!v79Ku5cu7GHgt!`QV7_QZ;E7JvW_+4_i1wN)=B-+@;r!A?PE($c`e@4 z)5FZhhI7dgHfY3s2=8yD6!#TkVtTFiw^I$yLZmng&q{C@0qro>4nr6l0|OdBna$p@ zVF16yN%QmRDMQ;ulEbpgzv3E@xp@^sx>m3ONgXFI6gSQS3iQ)UxUl)wU*sk{X!`S%w6OVmO+7chg#SngBpxF~8PAAeV!~alJw4BZqru5`k4k!9c zoNdQio#qfF2YdCX?t?HkQPDs889}BE@#~DK2Goiox-9vq6BA@*w1f^FbUNs5-KwiMMXywX_Q+bENb3a;=a)W;PQ8!7%aX~+E6mHor0~A}QXxjo`W3<#w}SyuS|1u5Tw5Q) zyXN(Bj3JY9)78=alhjgCql5+S;U3x|v1iw%rl8 z)$wM*LPEqliAJZ75P@o;Os)`QF1JdF(ItMdWmvfF$%CS^w$xSKMM~!{5m?}giBM;VBBjxOwTnK zQ;$Dmc;t4vbn||C0MyFPibeJh{pmBGag-7W?}R3)4U*#=9KJ+s@6(L{Rt7`Nl0-!) zE49)bsGPyh82O7+$RSN_{H#!QL->AhmDL#CBE4MM3inC#^BN1;^eql#b4vZQ$7Qdd zcKh5H=r(4a2|~l6+edE!W^wwv0wGct?0U7#ISZ)_{R0EoK3|Nu zWinodi!9$*2E?ons7QrM$GTeT0o=e0c|cUM33vzpE-Dyw6SyQ6j~z<;i~0r9X-Sx4 zM_|HM^ll3oZUhqSF4ZKkB}rU{oRK?oxC>O}PchC50VO={rD567U?#rSpzX?Zc=!$# z60K|q2^`-CLl%gDtJC-fsS)+kDcvd)+1X>>hYuYW+-4LW=7b~xxt!_OYIEa}k^cUU zX0PL%I2V%!C_VSwyB%|R=?fsJ{a`IuW;t^v%6 z=i~3?CCsRjN*~E~(hTVNmsEbX-CwzKv7O*F5ov@oFfgQuxY^7eL!{33^%sRV5+Ly2 zSG2Temq3c9q{q(G&F{WD8!_Ak>6@QausisTJ3}IdW1;5nr#rqP$IN8$vj?2z*M#u& zwu_^dKtoOnVSZO;0I0w+DjyHO;|uot5W@@POaPq(j4Iu6?^ ziPL~Wop;fxk2<~~WcW537x|^9`}( z$pTdyr9y>uo!&L!dT@bPGW+xR*CH_mUa@kfQv4Na647~(0wC=nDdC^Asau|Bg}`xu zVJeIc_Dz&h6p}Y>r~&SxGt&?-MgYp_WoBe1n!!+r4Y4I%=M+7*)GnHlwa8{>@*hyA zl+#j2B3?n(=;fuJF&ucbE72l9d>R^!NIv&B}uo&GH$W}Qw z9uD_MR~ig7dQOchEBB8mKM6Xp;yM~T6Hl+by`=XmK**dPv))#%VNqxbJIZ2Rl}TFe zCQk()T2I*E%8+XqJNc08O3z155;;?BOa}?DaFZ0$GCUh@Zk;=ys4`uj7NW;qt%Oc3 zy6nx4JFY}k=!D%kmw#b}bx}&l(<{bg}?d$~C><{3Uh7GG?Ovn;&c7LnqueyF8)R86sUzt3H?( z2(PwAX7_EchPo7VD|NJ=@I;9brPFZ7E2$(46A}{>f6%StphUz&gI2< zG-$^_Z!inEMC^%pIMuCIKtB)6nbOE>(e!H1=U^u6KxCg_dFX2{O7$vvZ*%Xt+0+ZfXb+00IK_3R`O&J3N#+$NPO1Z5t zfrF8mSqmETLM`W7NSt*>&?4kV=hOm7@k4I06*`Wwxxh84Jq4DtmX6LmFe6j9ec{!^ z?;cD;p{@Kx7hmBi#(b@D%qVbDfl3w)guIYqfHIRmyc2$U4dlGDuIq42+i|3-S+;8w z0N8+Z>2cY_e6+%~7MM41q=K?k_EK+_H60@(qd$MMO?C3)5LnK^_S6E<1~HTI2>I*R zpu4O}BEP?fv_Nu_3C%TX`m?2_rBPk`qZxlG%sM0HR6k}1d7IUNzBb=zacPNFRFvAy z%`H{&)1+PJkne#|I=S2>%$UISbZ27v+eF|qfyq8kV+xjm58nGW=|Z5r4Hta-S$JUH z)FTHX=a@FwnIkPTUL-7kU{TbpnuF@Eb1jtF-f0$U7#R)yRs##d9JFX#yyZ#S$EFnm z3U%~uV7p7_9XCv#y2f{ilYlrYTpy)W)pCp7uFJhWb*oMS!d_--0B?TbV znhg|pAf4wt$PJ$u`WyF!^WGy3v?6$9RfjwgNSYg7#9h5EZ@j-YF4d(Vg+iVqWR~~{ z^kM5y_gbP&p9j&f;u0OW(xA-eX_DFn{|}mgCR0m1u>+y+rOrS?a*!v7K|#{cE5mz@ zbEYzMT*EHo%9nGo?5Yo3T!i=t*1*-h9h-3ycxbodq?#&r6=<3=keXedE-q`NLz+Ym z_2BlsC)H^HQVF{0OVHf5 z7J81BoA<H{Suq_r`;Snd?G_8DYK_XlkGX||yOs2Nl$pvnl9W)2=6 ziZQJE-QPD#>({u_4atj%W$NHC8jZnV;ILW}55;Qq##ezTLq%ofHlZP@Ho~U6Uz0)X zHLP|Y&U|xRVB~{_!k_JFi<^t zweuPNjb`vpm?d^_EC+OU2|%CP{$$MJpU3<+67DBlU+r&y{c~*cb1P(Y?&W}uoTG| zBqMS;B^RFuB=OrUpDOT`{YhP)yy>~)-nMwg7X(VzpPoExCrTLz{(m$|=SYU0%=hga zwO16>nc#wkmfMO$)aHMNM>)PfIc74#w{)C%=k)z(@UACE)AXu&_e18tFVuJ~EDUdt zq2zS&R*{=1qV4dIO>&|PXCM(j8Ntc=AO!gH%z0<%$w7Xf?6C zGv75lJxv5!4_I#rH}@!GYdF6mt?TiLGS!U+`5z11_r!E3ee3J|6TtW7;nJlvJf=I| z6z|`^2MIJ7Sg^)N90Fb`uOeljaP@W_mQyQx`yl9`0`v1c__SJcH8{?D2x(9Refy?g zRb9;j(JfMp`Xt`IV1J1h^Vz}V6*E#V1Vl}%9dvdMBz$F34vqz8luN-G5tKAkD-|<5h7v_$_!phoOEA+0G(ZSmKw;}ZByn&=VL1qAgPP3Dv9l|-XWYMGNjV~M3)YTP0TyGr6EKsME9pAUU zk3*g#WL!Zo`EDsPo;#Jq?bCN4YHz=@GOU@33?R4y+RiJ8ET^!r4$7jSOX|O3Uo(YX z`ONY^rsXVn$lF+1B#{e{Zn3PT$S3r^6T*%ls$o}2B&}H@?uu6#IF|ohvzp9rZRC!> zn9-2kU?8Zo?Xe9F4X!SItBcJJCC@E`-Zf}Q3Libc8y6p+38gk`Af|VOBTo&AX>h79 zDlVS?f^GRx{I~HgP?a@q5audfc&~jKCg@&&f5Fu@&mVF~^>Zo^{2@r>kLr1gTX`n; zVQBP9aW(mB5*xHxYI6;C*=uzBp$gV0!18=LJJ>x^B8<>D%yVfz%r1H?bkg1kblJPx zWKI>Qbiwy;iw@Sd;xQ2-5J!H0d$^o^uv|l7%h4f`1oP%zMn|j5UXd0TAYg}0P`Im^ zbL@RRvoUK`lZPi_o>J+FwXLeg*(};^wo)j~H4QGElHiGlAGSJ9gHM|wFl_0Oc+~(h_Ifl>cn`>mh+nve_iXX=0Ch>142*>Lb{r6 zaEHxyX3MZnci>oCqy9{J6q4Q+tM=DbD5J1HdNGFWd6oMAgx|8H=g+)iey5RStVVyy zc1y3;Ac6V*gMb@S+qL%Z9?tdje=mCx{63%07*yyxCoy`Sn}3QCDZ1Fmw@47z`=fe( zoS7ERBl7!UaMQD7VzuQ?nP2cf)LrW9&PSgZLh_RMeZP{b&?JOE^3t=a6mO&BFioSW zcqRHJKG?TOI*-%`h$70DKqM`ozHsItF2+=U7Rfnl^Yy`>|CDyJj<>a@~xJNV&G zbLzI8b(8Xy<#toDS;1#jUu{x1@Hzn}lG9!TNo{+|NF z#aH!n%wxm^i(zjCI5Y|)ySuNQ;lI0gDBR*;FQc=5RqTEKoJ)E@23eHYy`$5k_0sFW z6wX( zPMd3$Tn%Tws7M|;+Y|ZqK#4-9YJKv=9I9Es+Q%*KTn<5mzwWq-xpbL2z}bQPNVe=e z)unO92-r^z8!FuJh)Sw5q`WE$#;2~mxjDN*X`4#@^vB${W<-*}Q!f4+LHbEbg{>Ph z`PGQN_dfF|(29)t?MkAl-L!o~q-3dRd8}B^K*R66DNfO*I7hM{(2^!)TB>Jg;$>tc zeJPC{s@XV<6Q5Az2I-o%7|s!4j4yr6IAEVFqR?cB%ws1?kFdP5j0l=GoO>{I;EK}=f*+rs0y zU&p5vhw=$e@plFfe?JeyY4&vtglV)=`$Efsp=ISz<;~yIZiT9)=zyq&)Ut)I zvWu)bWx1`nvH`h8#C5xiow@9D??D48mg($0NFkxQe-FO+$C$54UhIozq?R;@-&M>c z&0&&Y+|bK589KYN%}i57(ERq^=z6Z|E86VKJ6)ej{o71i2mVzOQZTj%6=UW*t;x6B zYVLNlj2h&i_yoReIkq2`ro1`M@X^_Wc3cW#t+SI2Zo2#?!hfG{U3&gv{^Lq|-;m!7 zsX@ISiI{+`Fdc|)-%YG%POP_7@aQJU-5|R!#_+{F&V&bg7`$vTo<*aD=*HPU@luLK zI|wFam7|ppWLcxFXl<@i*O)^+AZ&&YeC`R)pfdq$^y?CmQWP(EG|(N+*0h+R`4k!a;5&JC92*`+D4Q$4GyS*x|gCPH_1?|Hnbw4k$;L zIlxhZpNQOEbc|h{m#y~MDs=O#x)ovnxVAo1j@G&IqG=z0Lbwlm6&~jE{2EIaTS-VE z>uoQZH_FAewKvkBXV3y}4NG+x9?pH>i{6U;3K#j>0)?V=b_+N*xV4TiIyGp_|FDzt z>2fvJ2rPJi?uIUoC`~v)lb06K_w=g~;iDQ4-bY8(LLYQ<(8g}BWokd8;o~hmsT#>l z{qlNTV_Gt3R6~D-j)hv(GkktTb606~{p)dVu3@-N@ak;XIJSK2pQ|(B7Ihb;dRgI_ zd~36PHy%uae!6X&Sq7g8N`JLl7Pb00xcpi7ovx$>vIC>)C0zQVt960-H;rP!h1%eN zrNtQo{Wz065k6|2dXcTgOPL8naZ9Q-x5wz(SJ;@DneUbKNbbeV5*e8ukeOFqORjhu zFL{B89KTP)n04ejMbk%fDnrTXSIdW@%&kP@ll1?7No;034Rz!#ZfO~1dfTcZv?sy1 z6vcP{i8V_ql@L`t2A4V&9`!d<@9Vm>W3xwDT6haPvA>566Pb;b z)FG1fJH6Bcy8pUwCBDrFc>LlVr@`aAc8d~gk2;oEv_TZAXAK~|VxR*bnXF;xjA9H2 zWo>O!$1UP7-@m5`qcL^~4m_aBW*|{$rDcNpIgBhqzx=8y3TS@-As^>5G_HbPAR&+z zvW>YB?b9^ITb7sCmdaVGa+7gvkez1mSvS9m{Cqt_UF{89_M_Tb!It>dT0xP%jBsx9 zZgb~t=g4*Eh2E;hL37%eT6wka-NHI~t^>KV_0v@>!`SQL>&_jypRv~ok$YL&8f{sF zD-RNSYg?n_yG5*dGfv&8#MebL{xT8w1%%E|2^YyQf&)= zq(a7zFs2{1c;X*dR~U(m2kHlm^=A1bugp`|@N^o8JjTOw9Q%k4Y`}LOMf9^o}X7p2yxMwo+7@>i{ZGDavxB9*> zEAh9z#F5V~@b_;J{im?q?0+QkD4L7*!S~Ao+OqB{st;d1-*#0@g~+*|+Rx8u8IN<% z~>Yjr(H*+~zi(}bXmk9ONAc$Cd= zImj>>VBziUP3Bu>ew!~OD=RBdehbC`C{Z@teMWvH^P8N7g-u3AX2k5fgD44u*vsP5 zdZmN(*7N`SONaOMu<|{pAhUz7rc$+k?`&90dUSN8e_dF-*KhdhNKA;dJ;|S$^WxdF zXJ4Hc^Eu%8_HBXK6zGPn({(P-QlOzXwf{ZlIRT}E#nnKLbvXE1$iwr}+D!1>$^dRWQYL6 zz~i?bxe?fA!XTY~!Z<<(wl~l24Emn9m|DUf{waoH-_my6Yc#Ll-_N2}8)0EB< z+&is!Ubu0t*FWoecX*}r*0ZT?PC>8i>a@fLE)87Oyx(TiwX|~qUx&TXJ%jO2$W6x9 zhsVYV0u0|!|FdRuIO($$`}ymoiT~t$ShQo!T>9i=liMrhs}H&Hj7{(J`nsOJDj0tH zDCP|lN?O`xZ-nSvvdMPaI`ypj)D{0I6hjYsXroY9!kXuoVnhwv)B<_Bq z_N)|8aLvx|U5HE&6Y!zL{plq%)}<0vOKBGJJ~Nw^eREshp4(Nz812QGN3lFt)fW)k z;PCwUhA=zT60RZm_Q}K@?(M;0@{0;F^cU6-h=2MW`DypJ`8|J*cR$(4(V!8p(Ro}& zYIpd|%(8bHiTaN!Qt2ryy#(X-p6cZt-+4tnpT}jT>1X{CJ4KCR7^XM=j~3wcVr$z? ziUs5GlAgrlW9rY#m)~BBHW9-%0Nq2B|G@#xL?sbdvb5Y~0Utu%b1;LU=FCEIiS5pp zRaaB+7x2@?yQ#L$@k4jxRCn-?~9Y`vLDQ29w`= zFG@~PQSm`KR6pE?>eND@)@u6i?-+fF!AGAnl}2n2qf(XJ!jWK^`t9;$)K#HcRHN zJ}+v^DN;Mw?yVUhJt& ztN1cndzB6O5B1 z)5G9^_`23TLo9rJ{PBnPb!l3!iCVxezrTuyda?GUn_-P^%GS%|K6EfT8G_X}ptan<` z!@`jdZ=7u~WLkudlgVhsj@*fLP{EtrP7zte;UIygT;C7~MY1fpaKKkQabbJ-?sUkmwDDto)t{2mm9a7-I%K3D>Rv&o{oS85%9UYd*(%lec+{|xa6N_ZTKCq z6(1(;!VXoULf|hp{oun1CaDZdls!Qu$=)EFi)ZPp7_J59-iG@p3w@AV7(!S$eL7M> zWSty5v&O^T#7TISdEI&Vz3^Kjz3?#~(x$f_t2r|<3b=(0%q?`-rDs9UTmAQ#Qj}{L% zHNdd!P2;Dkk%?48@-^G+;exsZOPXM6%-cSP8r!_ZT-?a2t5m7$%DQf;tIcoNKE_2> zBjCLij){|yPhhNfySJm^U-d|ExkTJN?vISVOUK&hueGLbk=pv#wdv(qqu~l)+yu=Nx?1QZ&e;2%uas!O!lhHBECGe#CbKqtUv0uJQlZ+^^t zK}4Gnbl-1>mVd>y;$S$kBV^#`(RW52Gte>aZmiT@F4)@WFbgjtHPIDL-D(?lq4r#k z9O{#oL*L!7@XbGzmKJ~g@5PY?_LrQ{gI9O_Gp2OjTvxvn%qi#f$R&|kz*S;412fdJ zlkU4AHRFFTG2umN{4X=jKFbOf!!50{6(P%Fp<4olizDSkdDo`=JN0VVDc^xlj_Ynv z+6`V4kmdufIYl!c400yM#>_03A6p><7BcScA1%_px?ZOtGKHTeCq}5MbtGhdcTk_S zx_tgD4Gj&c^~GS5W_}{rkMIcyOlqcJ(T&wqU?aWQ3|>!Kw9UVnpa)( zD3EsshRe`$tVo|5v?+Fy7{B8J9t}9mLRx4J4I%C~J)Lm#&_USMqM}H^99k6CWz263 zg-fW^D^#^H@dB}aD8?>aFQ`R=e4^}c|qs!G;K<(I>D_+E}} zAlka6V^yRORta9-{Lv&qOxL_34M2tD;5I6udR(pS{9ey1$;l9tkSMKbBs|Ne>s{Y( zg~wRpCEk$WP%0~-)g52dsFo)a^o{??fFwUZsZL0z&5Wxv`dqGQ_1wc@EQWi*#t>R_ z0}JnJwpTSiL>m`_ug1}JT_Zj?#X728xQ04399v+F|JnRU!LcI|&hdxSlAfobk`Uo3 zyqS>3^$InxJ8GS6b~Yz~qG&7S?nAbymawp$qc6owa}O1+RJ^wk{&#}bjld6Fxkz(> zYaIUfcjrFCpPlQ8disHX1~2Ps+@>=!kRo@Fy?QwGzWto7r~&~U!}?}Gk8$(T&8@SP zp~esHlNd^UrdT}L@+oUeoaRX+#>f6T;@bOt))SX|rMcp@vmj5n-*fHoA3y3+JsO%$ zjhSXn{-hbxP#vCZNoIM%hqwkA3ab{EKVHXP&(V4G8NP>STuF#3d+Fc6_M4u9E{7mAvY zVw*kMY4;g6g6bbE{M+6Q7em^*`}!VJ0>q)o{HC4~##<_#1Cx9VMMtuPv=1;AKNOuI zDG9q83iU}!YS9!_FHMX@g3wcE=QzHOA$}szX~%qV+teMD?HwG{?ifda4#E$sYfev3 zp_cIE>}|48Xquo-MXfUfMYZnUUd{jc9h--Y>&|s=V`HO9==>02cr<Yt_h+g3L}uUiuH`#M`&k;!QRESF&a++Q(%m2i#P zx6w-!Z&tVF^3NC4l8sk`J4U1%1L6H!VZ$w%3J)F(_n~(X`~3QB2=UOSxWric`3H|; zkGdSUWS24L=nTa^2SP+jyv&#W)aN6C=*gii-ph}M=&p33(A@2IWhP_aNh|ZG15Uq> z7(@LwN;{BqcXDiyQ=tD86KnkSXk&9-sSoZ#6+9NgrzgeT)q2|v>q~QR(i|T7*{aa> zt^9o*V@AfBtXNhFafFD$>9Y~}tkWt3@-|U&RHnv5cQP*Rx1UhFfF$5lItwd)2e{s{ zA&>>Nto8EQm&hc6m)4q{v;W0+!YGG?Ou=h7$n>dscDM`wIX2`1`0n0-T7EBw~OEBP17{u67#A8Oa%d z2L?hK1jWLCrZ4i^NB#H1dV(O_4XazYfejoA1^ZxXRj4SL#H33WILdC?k8Q+n93I2N zrC_tK&B;s+#B3)C(-4Kl-sZ(9Yqu&Nr9!b)E|h}Tn&T!R+jF%&?*>y|OkZvy!i+QK z=TORVLUXFh6B7~1Vxr={a~})dPvYdQ!+&O{SNna_YAR8Uw{(+=ii%6{ig;v}`7HjS z%Kv;<=TR3^TWjuUVv@-kdy9djI4pcrM5%6puB^5GDGF86{dqpZS#C0%uMUL!6fQj? zUS{j$`7-fNh2s+_TCQP{=})N01f8O?x+jKX?YYpZXlb!F&c-mePG&PnOJapzJA|w7 zlMOy&(V}K{S%YDjU98SgYQZp8$&F(|wEw&gob>d0MI^M|FGbeiVT5tr@or&RNAG8o#0m>BWeDma|J%9~3iiFjp~k(nM`aw;k2+iV)TXkpR$ z*N2(3l|VHgclm|HD|LM}WnYhNSDooywa&yEUoM^=mS@|&YdrEa8+W{Tqq=GI>GW$U zgzu9LU(32DMD+Ks-mRGu+l~=MvmHO3TW!*O83BHVcM1MWbwAno&R|>rO?^u42l~h5 zrpE!W+2Wqq)D;6}4neMfumDN0!dXd9F$GR1lWET!ZhX-CwG2O5+1NmWmN?GG8v6RZ zlEHbaE6xhe9o2NPq6x~S_uFq}-q@Fft_9G~`7C%VKoGB?smZED3j2TWX0!7%-gp2N z*gOCg9oUb!xVhyNZ#sB!v7t0WrGRe*u27f;kmglMxvgrx7W{xk?z+8o@)8<-EW`Ey zvcaH8eANPZ(k44zhq_wbP1)B!$I!>0dqiH>3NF7b8Kv^M1qsdie+kpFw3u#s(B%QH zB!*qMc2J;3rGA=(r$#4R3zt=1eie>tFz^!v%z{@Mos{K!e>OY!y;4Gp2n)+%>LGtm z#wJipv*?WW=_v$rWe^aB>`Bo=sW}oykZ0Qd{T!C@drzLkuQURV1-g(=qNX<(SO69Q zR2nEAW}#XuaJ36`vdB*%tAJ?N&YwL46Wj!(g<0#%y&9#_WN(Tgg$;U02*Dol&h1|z zA_AF6-xBWNGgVe`@nujiLEi>MC4Kir{fa1Lf+4s1EQKCsX-qM+2=98s1WnQrYRR)_ zxv$}@d2hI}WGBG@e2+Y8sbbL722tIB9OiYYAM)b60#6iVp<6&)eScIKsZ)f7T^e?* zhYbb=d#JP5$iaboRZUHR2@ObDNMH;sb#$=Vhr=J0KHjNSOOptKU%-3q++pZfGFhBM zl$)?)2jKkGQJELXu!9?eoDchBp(-6E#1(y83dACV>31%J`v7?j6jQ9FFqq^5vU2w5 z`YJ$w)d7}5SX%heI9WtGqZO=FTYFrrz3VM?O%5z}yqQaBQeJ{^zpfYVFOW2c<$FQ2 ziBNyOeD}sgk)`pPN00|J>=iHLAMXMRvKl+<^Jef<8 zIM`3q{?Y}jGR!G+E$tFYy-$-YU;7}Vv`Vl zoGfa9M5!&5QG@>XPkzs=Yiv}_x45P343}pP<{9R1<$@&ZK6E5+kBme91)@GYv%tw$Jdmx(PQS}|M}bg>nUpkC1C(Xd zhMs4jBgya(SYd-fggfmrbO$>q!%X^ebTw|A1BrI3r1`ggLs^0PsV`)|ONyUn1! zM1%BsS3(-JUtoNykdVEbp}uobY3}!b-;qGX#fliKvD>YevFFD@-TeltX=dP>keVB$ z&2p~IIj~t+8I&i+aVKwTI0|G zI&Q=avEw@d0gFRTAC8PYI405@KoZym>KebK>A_5{Tw1_Hs!{P8CPJ3kX)st z2W#Pdh<;j=I9mh5C)VTBUdJfWT?4m2LT2G3EPvKVh0sU}N=vEI_&hpmC?>$VTMn3Z zLoejIfO)}Z(VxgtPvESA=TO(G#V;ntF?Iee?hp9PcR=?0EB({^r!_c#f@{sN3~NDV zsiT4iVGY>*Xf$Zw|15JQ6N^Q;HZrvNPjRasO~QTtU^~Hk4FdzY?TT~+Xekw>&1l%` zrJV%%iLN6dHu8BvkLa1Pys$7ktiZHL%#0hvn2Ev5O1}h&0swJB0G^cD7wH)pZxASg zWuDV=pTL>gQ;DFAhTlsmAXDSt+6B>|)WKIXsZkcPP}!;9=CcQAO5cybZx|aN-?_^N zD(mbwcYA#jYIheC@idVr0`ZMQt23_*OhN(CHi24>R8R@1+`R6M#bB{yqja){H^@xRneZ(xar0uc_as%zm=sg6Oo0=~69ZUjhz)_+@kf4*~t}^f0@kLX$#=>yLiv(&C`H-0tSr@^egnCjxK! zK&IM4z|;-hG$-aJPsYs06nn*&;xUg?zZL41SK8$|XdSKqlTgvQK!#*b#KWpSWMju33$gLy*1<@WM)@*4!?nS z)45MkGTtZ|`&Ur9MEkmP#m{%E%&l{HTYQpzVddEyp9>erE*U20l{{l@ks> zyus?@$2lj$HXkDxytw)M#)8tvu}r5`B{o#+QS7Z_u80&+H(f6Re-pa8x_S-_1QZ6` za*sUs$91xW2E-sZ)$1D>5x!NQ2Zu^5xX-e7Wim-`J4|y3;=gKnS8|^T=F$G^Utd3J zY#JuCu1mwHL)ZusKOnUP$dD{|K^mgo86!=ae=Pw7JD|mUjmV`u|NdT<6KY%dsIH0f zIoN0!Op}yD!S1>~QAT}-+_^4ia~=5+Az$fF{ZH=iInZo1k(l~27=z{A3ybM<0Jc$T zq+aM`TkwYAD#y;pZ%zk`soI_tSE%x9z)6Lx7#epA1I#3Cg*KWVLoopkJT@iIGctL@ zAOPj_mLF@pdB%7&Pn9*6y4H%0a6KmGnC*ENg2F+5BBr6_={G*Zm+-6{Jue^K(^uWY z*K|^0!&~SN_7^+SBrGcB1h_1=dBn8AEyz3C^qqMJ>HvTv;pO`{>pj>)aIg)Mjz6tKwa!C*`U5OF!y>Y*SM4S%Rr zZ;(aj%H-BcNJ=6fVt5&@Y74td0xkUD{g4ABcNv!TPimeucBhkG%=e_0YvAU16S6!3 zkm-iV7LZk_40`StHiBd->xZ4SbqjJ63%vm4^=uruQc+;&G#t*vK0j=PH8{&%lNSlM zC?=364ZBarIJWh#23Im5IT~V;G3#ZQr^xG0gEcsANH_qTfp!#Y^G7DBdm^XE>xa+Q z9pvuB!n7d2^QN$UAAFR`HV85W0m@`~b7Nqrf1Y#dJyf}5jwCH)^z>+9QPZT0WF>%B zX#ljM9eze>p(*N3FX7|mlPVuX(}(kzy2~W+Oh5{Xb(N|X2Zk(1JGciteN1FS-VL;y zXr1}gEz_*=cM~RA4K(CG<%$mKq2#|}Hx;2_%u%-;qEG*^>Vk;~?Hqp!E({+dEoR!M zRW`~pGI>nC6DE1t2f53Jsck-eChS$EJH|$Du%@8dI)8Q zo_x}AyzfDxLPeLY5Px0v3l_qVBhHGXmJWnp0G-l7z%Y zCFWb}kBI~PTv2Sk5)v6aeZMSd0v}}NREBVbk)atO`kHf20A6~G+jUwU-K^2^kr7t? z+X7UYL)YXUDOz$Ve#d}MiERPlELseva@H@ISBB)Q6~$T89B()RsLSYguZ}F5tvEz; z==f&F^O)_)A8-lRfPynDax+CWQ!a(2#^K^Tj;t%xcNZL7ZENGjazATQy*YTY-$?%z zGcowq-~(JWRF7mx-fE7U3=Or1G#Q%#BDP9#s8<}z<9Z(g#}G-6%?KYXxNMMyyM~uhVhsV;^jcIX%=U3CT5;g(bd96Y^gPglkaaldGWD-g9aR*B zlmG!y`FeMJV!{lz#w>T?NWAD z)&sN;Gu8-bq0dYO*oD2BO4j-e6Wiq|jm>G?NI(ovO`L#EU1wc*bHM+;Dk z4yy~?acQEwg2XqQn@hLGi~mCkA|6Md=ioXlZTjmy|*~uLOVgM63++ve% zI}^kc)-71g0Ah~g3s&>bA21*g9FyNq6I(7&fq}D%d_m|NQ<*r+g`wLn(d<`JZGn>tN$L@3K6&SY1gW$2Kmand|`{ zjF8~l3jnLJ+H+XCH&RRhkA;lRf2zh2iN+{w4GSw^a49^Z3kRLftYoZ;K_y}=a%;2eZJNWFM9 zW?Y8zMcTt%{DAuqIuMBdcrLWX5Nr}ts?9F)at=6GPf8$|e5lEL>yHdd5~D)T^}SMj zX1{0{BvCrT#tH>q>uYPC#b*k}gCWu7+-y;zMrmU>`Hi2Vb@g$+hPEMza=(l8th?Fg z^^Qau;sQigR(r!(kBX;H>FyZ#37Y=ymnpJb?RM-Aj_;v=zu3Of(AvyT;p|QS2*+?a zZRX-iM0d|Mg5s7U@rgeQ4lTG)>WQ--lOkS!;8}Tip6GJKzsVm8cH;6hRR4bcxw+~n zs^Xof`s%g}CjEB1xp1=`&Lh!{vr0#EYe+U!YBLpX_fCR(jL<6$rI*SBL|4;CfmjIv zGS~B9n#tqu5B()|)S$){RvXg+hg_hnG%Gju(q6qqsUuhlCDZTR3O%PWVe8B;Euc6v1i zDmV7M*)Z#)IA1~pX&y5e5m#?yg9De1lFj+fV)~vKnFpo7j>)NXsrv7y`Ul`v)diD% z?=#QM>AIX{H&@r!3C(d(19g?Ssv`(q8|2$qF^apfJu|VX2T71T!C4y7=u{kpO3D|O zDzcSAK4QC6N3DU>xhJ$ZJ3F98D~AEVWU|jVM0w}_maUFpVfJ7e#_wfW8jygy>87KX zmiSP)7Vhq(q&QR!^79p{RQ&3N9UUFZtcK=Yts)`SIihDXPgW|+n(KAv+Lbbgf^pj( zob#ve+lbuzwpxx??DsMtuRlrFQT;wUb52R5ELp-2HXnq%d+o>S$`$Ke8QNgQKc!<~ zP-^LkCmzY17%B7$+V2683d3Gj?vh&_O7Uh=$0ws6;W+JN9ebaGyoOL&Rz(6)tBzcG zBM*0X_FHdYf%t{5*=g$+V_;M-;)rz@jKf7(o9>aBGx*=bYdTrv8~*-1^2f=NXjsSm z;!D^fcH|Ak8AzmlFT`TZZyhdQYovAXyU27qqqUUW^6JIW>qR^v`91XZxX?+Tpq8^# z+QIlVbUZKb{nOLHzg!aaJaK@eqeJw{T8>Vt;7V8&}_vs6z;d}D*Lm6-5JJ1VCanFXj?mvQv{ zFLwpN4UfI!pL4)R(F)l3+6C+uSTMbMbi`4&Q&i)=#`Qe`<@IUJ*fo&{(C8z2n9EYV z9*l&)VhpGM20*&l^$WXtI#2TNm*;A!bRl<_=hZJa#ZgeR zi9v;`n8x_S+`ks~e%|?81hiRufmpvo&>p1F~l+n-rb@K69V_UV4Ds~vV(`anwjI9+*FL)_;soFVng zN#b!a*)j_0OF=v`8r^IP^hM)X@G;Mi8&xOk49>iU{b%`SK$2Q1+%}smuf+{zNyx{| z^gCChoDT-Hv%R1hc^Qw`9rpb9SLvd9YIB4g1&Kj^F93Veu+lvn5l7avE;Q#j77|>D z34QCgI=;Pd8Fn(j21(6(y0OG2>qM0fEA94LUxtDc7o&vtp4By-JmIY~L3O#hcSRs@ zLp@;Rn$BZ4d>RWjgN%%_Fzz&F9~iR~$e2Cq;6wa6q(`r5^e&E^wW*8gSacHeROF~b zX?FD|3do>eu^ON$sj0)slx*geZhMy1*6PM}$4M&b6=kQFkaMBVs&1ALvzQVedNO)d zXP4#na_HUEQcc51u~ZWFwlYmcMZNkP4G5*grI+EAT&t3|C z`kYEW7-$j?)KJbAYf9+C;YU3)J(^wJi<;z^x5^HZH?eu$%)l4knn^hBs?jei0OdP->cH=@i1M_S}^DXARQ-PC9kGN0(slmXpneufpVr= z|GFM+LPA0q{-qr5Qjir#FR4zP#&|EocdMiM;TD~_>%Ks_DfGU1*)5V`p!gt-2YapX zK7(_wuIhJLyRa5>33#kGRKq3IVg=uM3Tmw-j0ty>es9tm2zMT<%| zK@VDr}E>cw{#2p1t-|_}sqq>7~2> zT4atU2XyY2IZyh#nwlqKhb7heXSmPH$DY%xdpz5nj`viX(^cZ!o;Nweq}lxof39Y7 zs(SYRsx05(=HAKwRMt`UakHcH(;HJz@^LBs8eYB}u_8FO?pkZDFYb^$`0seab{V(R zQRy_g=ltAWQDigaDd(}M*bvcU-(C`OY9y%+kAFj=)^xdho@sN9CSB090q%hwK_)DGW#Oi^CpoGotvY&#jGE z^tF6-mnk4Gv!a4fymLPP5}rCJid{a2Gz^6s&jRld_kw<%^Rrex)+=}R-g1Oy-7ful zM-nU|nq4{J%0)zw9qNqea68?fu#8xTWaDdFQa}L=ryCYJz1OQ`cd!I7{QQj17Uejf zxDfy1N3&I~HUg&S{F^&KJcWdz<;b!;2}UiyP3FoSio+uiJkR{aDt*&p!5EgQ)9Cp6 z-gT&3q*AR#AQPUm{6<1s=3kX8ei=OHC#o0f-zaQPd<*&w-H1eTiN)7Kp(dX=(1 znnjC{BcJ~{z|#&q8`;iG{Zgca0IDGL8>0$yLdQvq!eeHPE#&U91jCU0ucy$kW~eQk zTEv;jpYp(Fw ztWz*wili7w>3#^jhRC&BoPntS@G*K{HIsGJ?!<+y4@z#Shz01r2j`y!FbfC?xD;2< zRX_6t%0g$_{Sr|B0daIdc)4>@PNF!lB z&%w=$Fc(yXJumm@owbBXF7(}!{`Y&xi=8h7QnL*{ho;}EtO*bGKc#*En(DA1 z9=CSMLkSzj*Tf-FE#zM;76z}B8NGBnUc374dUvIsMnXm;L&}BCdl$Dvs{Co?m-e~^ zz0ByQcYnT|Je&6+PM85hSjs*0An9k;Bg=Bi=JZ?kFOzQRZC`P3ncsY=X8EecO0nKU z=JQ0*yRGMYKYZ#{#Aejz-*^~=ckVWLn$F)APTP`sT1Id5RPgfQ!25Q-noT+78r${+ z&c3rLQB}C0)5zhbi7Pe6Zu=BibPlCDwu;!yx$>=Ljp=AKm9HiHikxB7o*|vqnG2&Y z38Qw{DflN7wG3aT+Fed1aoJPP7iFQya_U`vHFu;zFfn5J=&#{P40myV#K}Vvb__N1 zl=Tw&z9l|omXqlR>ZRMt4@w(b2@ANmJFp{XA6c?|jI98zCt`00NzSZWoWrM|x2689 zWM`3Ba!|yuExAdgy|#tH3jN^cZrv=JSO;E_2ZFTCs(&B6KKX|C%jv7(k>is6w5INV z`}E4$^$$n_(Mc-okl00nL+lHGK+Sw9(F=G|ti6LX)w{ha_^cdN-g5zJY2bQ(_g_&o z#6z9QYvHOQxtt=Z90k}jaD0e)?{PSa%g6Qv0#B9!kl<+ru{SL_`8U(M5Pn!D?96lG z<%*WUCgzyTw}`II9)%y^e~1O{04FX?mbIc!qx%UQXA-$5f7zDrD|bIJG>k%Wy8B2H zm_Yi-->TCeg(Ngk2+Bs%oyW%3)~hKRiVX-?B#ZVDi2dDv#m{R_S%8UR5}4ni6C_ZS z!-ro-u5L?INl9rN>LcM6b%2hPoMT=Ss|tQgNCdR$#sm(&Fqw&0A@4NKO5m!Nz?P4`kkKT52} z2xPE#kUvCpwhlaYok+e zH-h?t4}Jna!k!@_B6|?ODXFJ?BA62T=clI_&P<3`q~(#($?< z;ib^7s3%G}3<|~yRd41&Xth)J?@w8>Go&o9fSe<%Wm7qUr=_Op)INHLMMs9PPa}WS z1O!h1C0qtl zQ8zb{we@wcKi^EtXV;DXNgy;coMy2X7=ig+mQ=>ReEF4dp=Q$V=u1r_o8axZcDAuh zgoaR3A`58_$KQ4Uv)FpRESYsW^LQAG6!!r$j|`+|^Wf%h*m`jV+8QLIL9m7tstbT% zfJP_lh-n1nt;Pv&0ecNnmsfnZ&%xOw$QBCAP+0E(BbrweoWx&doGjeTdV)8S=>_HR zow3L2CeyX(WSbcXBcK_}Zq?O%ub==^c=`V(0O`97*xpVV9vizcg8gsgJ>@S9E<) zFT(dO%b+{YPl2D^{ab!uggiCl!5F{QkK>kad+|@XR7=DWDy8qkB!HHFa?~YFMcl0T zKP>)hZxmbx=rgT}Y9Xk6zU?hFEUhNp?%R2~xU_hm&#cFekzTVtHM1DWN}M<4&aGYk6byv(m?t zLmE0dUB?JsEb?LZjkZWXzsrn`WAd9?U^xKGiRW6U+~-wLPKn;NbAV*#&#ylJ7hQh= zR%O?%{lkc~gn@JjNGL5xBZ7paq)51EL_$Kk1p#Tvr63(jcPWj4gmi;6BHdlzSnhYf zd;9#~&x8B87YkinG3S``8si+lbDK)S-uT&IRfPF=3-7n&-?_Kk_7O~nYh{ejV!gOO z&UD5HHFC?}NPMKm@iq&MZAh2wXV+Va`d@0n=ZQ_dUKc4t{_Iu_teNf(La5${&G>Po zp+f$Ri>)njR1d`A8MS6wH5ciD*a64JA(ay|Q~6r>iQ8lrf|$crzx_Kp8G%s9-lj}( z($88nFD{=s9o_-^zDEfz?770vYNMZYqT1tbgWBwMspqpgdj5#E)VUqx!Xs99BHhRf!-zrFPM1U$R zRToSrmD(fLA!Yz$eBR%@mmNeDy=u)S0Gg_(KXHY1E)4*phpGd zC=eHwpFd|8d@V_UjN|h)LAm8fNk^-Xf}lFJyqpBe1Aw0VaNiUCFZuBMZV&&2vEJM+IZR zp+w6s*F;%f^gIJ)OxrP}Lj!;z0+KhNiO6zvXO`m6{k4h!c@&PH1j^eb!%Qdxw9OZK3nL+b97mzU21Bd+in+n4O@ z$O&`tyx%t;@PmTq5%|AAhXptwFbdKc3P3*7gHtdcx~b}CY?9&Jt&WA%pvIY9P#^>8 zB-FYDq@F4fi)_@Jd3h)&hVAWb6u!qrQTscj=pX?GGM7+*^8i3dp60V3A%UL+#4Hql z4CXC2bZE&EWX1f3Q7dI_z3~q6x4JT6RA4wZufV zY=XI^6)0dQ{!X}OA}7te0ipv*w*b}!&FQ$8%Tt%&f}X(31Bb}LX8_>?xY<4Awi zrs<_)BzmAP3L5||gGGhzyr{OXVCGnff?+A)J@aWxhg4F;?)p}P-f!$4Hl_CoZ*5Zqkhd-71E5mxO42BL$9X&!1w$wq0>(F@ixuF;mFaof&lyavg8PCJFRSb_bxtO}pDt|U zaFLi#DUs3Q>Iei!+-S^QlwgNk4CsYWj8Lj9Emo~*c^*&kGe?>dL{4^LqCCPelf9NF|#!=ew_$QH=I_vgD*X-&0F7HOLyN=84~d~{)V z_yRUYKv#`iCeGt6uo3o+_~MdL8Ox@XTJ`%r89qISV4UW9HmRo1@B2`xvtrTQxf{wl zdJZxJ+pp4h2FwUJI8q4N@7xiWm2G=BfI5_Y4Ec-C=#c5WsykD;1TL8GR;rSg!}(x{7mqqC_^Er+{~>-By?2qCyZ@#uYc=nPUR1J{EmXgjGvm**<0$;bF5IGKx4A@~p`};LyAGutXjbD>N zbD(Cv8YFs%^UTxi{Z+Gd&F9eHU*ouMBCZmhn(l6?bHmynky@;5B>mb-nyFHtNnCbT znt+r)?Yn8oomshZANAtO*)=4yg9iwc2WgDuswyj^?V2eh<*@27(Ju|6va2fOSm>9e zWG6?yrrgetsBd1vN^xOXJdUot;Q84s+9B7W9LlE-nVIDtg_o1iF$ZJ)8~)&O*-ZYU z!DWm4y1H7%KCJz5nt3$s>Ax|#a`znW>dNhE-;L9}g9JVK%Y22Gi2Tqe6@;OK$|#0O zyF}h^jh#=%5&`IsN+t$vY{$5M{g;kST>4g-eVdJU9QmUr+=IAZI9zRh6D4oZdwN1g z1UNaP_>YAS`&Lb^<$riW5y*=3=>vbK4gjZHQh=o7ngOfDia{# zRnHO#qnl$3A8ml8YMFMFBTZ%3?Hs#qhq%lcP*lS^cvI?C1NI{WK`S-_`0(u1n!)rY zH5$s*g9#TSN_^;YgahoJ7Ktdyq~ zEDNeak7S>5=>B@_q$^id`c6KKQ1L#8Qh+vFY>3&U!m>rzYE0TNRPSWhssXNa+&ei`)?K6 zFPrQwCKcwN-}`wpXSgrVrRpRG7Z5Zct-i$ov|>zLEOAPDDrj-NYHt&2ZBwMRFxr;o{A#*p+!rK ztWBUCh@@)}M1Qe0Y0z4lr$vspp~*&CF+i5nP}2F_Y4xmcWlx1B|CL!YqfAtKzfJLSOhs8RzjZ(0dmMtL8N=6NXV$M>Ob- z4Q1UwnsqJ>kq-*~rl%c4_hP-(oM1hHO2=Ot0;2qv`=xyrp9rCSw4ICE7I#lOmvB&f z2XD_osOs&p_LqgSp_Hvs_uJP4DIURqd3^hNUVsEgo&!tk&76gP75`7aZ^jx})`?qq z{CpWY;^{l&%1%zI>91{zyJC==-+PZk=|xK|bN@}!VHsI953b{4^** z%8&!Y$J!yeN6)){l>S~QH4uWgP9Dhjd_u(VSO)d&J1+e5 z(m7Wgnd(pa39ZnqH?@PWi?s>#ck6+p@@NT4M8SFbW?15H(L3H=OF4^dt=$~S zYnVB!3{J{32^*?tBeB~zZk@ztyo@b_{# zyD{K|c;|*F9}JNp>8j_CRX0)(yBtqgF&kjLrr=B%5Tg-=3f_&^maYv$nEz3vrR-KN zvwafrYCoIX1sCW4^Cw^A@4;iFJ+Xe&qc7(=@wh}VpDpTO_Bq9p>BjbT?AkJYbNlcs zIpRc7;sv7{ME;x{-G*FbMayNPO~q7|872db=A0qtgg5PFX?8-9VsDwrBv!u{?AKlr zDfPT!XGNko=W-sQQ)E7546K7gG3(WOVTaah@41Z*D{^9IA~1QbrZPBxTgT8nM+216 zo0CO^?maIq;ubG=_pA1u*R@BV@*qw>k8i?fOIn26pH6*s%~D!?Yu66A(kb>UyNpW$@RHEFAmP~KG$s-F}p_; z{9#Jqw~W*1$e%io&yQ?qM{ofu!WJyMx1q}G`%nP?bA)uhiSF*s*DH^Bo?)YJAqj1< zOP@bAUaNJTA7Oj;z=W!{!jLAY*wT4HnTG=(>w4nw#6lx8`{zq&n1rl&RI?tY*pCdz z2wW3;J3h`|JbP`_I5jmik+?f16E`ZafcRfzl>dIoh!VD6YS?`FKHn?~d0DH)<@U^` z3nyXH0b+>T6Lx2SC8>YwLxtMV)q3n%o{r}Q7nRN2cBB&X#c4_{8;2#Jd#CGUJfGnZ z{tG%{5kil}tlgeH0e*_~_6M=45_H#(C7GgvX*QNE1YCYt0UlaveAqs}*|zongMxvA zKm0(4e$;zD@QgZBwV3GJX6*CpRrt9eRoEXdql+oi5~&L-F0#j$5V)b&xLu4;Se}^x z7y_sJkk@DmII(@+>?~6L1#e1+TV2elBH<=^jX6}^QS;dA>xuU1a2V(2YGT@^ngLpU zdZX^?AAihBkKe}b3(W^6XNcMrs`fD=pNg)%LO()SW*VY+g46~6`%?YS|9#T`#x3Ay zhr`3D#v=6US27j$+={&yvF0euM$%!Tl^>;OaA<7#W{isOjY+`9_3rQUG3Wpg@n<&k zZz%u0pDxebO`-O72_DY2iX3;Dw0>*7^Kvy{t##P5bUzrYI@}*D0jA6q=|y9M!Ok`m z|K(ef2PNDM05mal%u~CrGbbE=`cU&P!RYUI#XuAB2|<-?0BBAtLPG>-Sm;%TPM>Gw zZB9X{4^@bv{Y_(s5+AFXC(!63AtnYh{wLpRyMyBwY+glX(R>X(EZ0gtiSP4@Xn)}z z>MV9l4;b=5*buZqB2H3m^Rr8XPMeF}&EQ`$X4y*6c}o z@{uZy2NrT@9azz*KxRUk)Y|JrM_ogMAjfX+m_(4G#4P3ctlP1C?MYU=t!-5W~8?Q+u_ZW@x%+I@}i)N3H4qdr8xgWjoVlKYJe6x&k(Al}c9;1=> zuGbzF7CPO}e5d*N|NjSxno!arVA=xpJ(Nab`P2)t=cuCCV{y~s89Jr{Jk$ldE?VF! zs^A|S|K?&!Lz96lbIV$1AHS>t>-<2K#eQUSB}U$MC&W(SPWz+9`dg@6X_ujt|#edWN<$m$Q%1G2j!*X$CmADt%nZ%QiF;!(s zm|wu+3pB)2+_bIj22)FmS2Ajv))Jii&0gApCQ&WZjrzCNxhV;GgF+Cmd*UP8Iy^pS zjK&L*UNK^Mc$em1;0o%yH#q+l%mrR`$|BXSHMydpQtVoWG|n7d>yW<~1J>cM&Xs`B;! zBfRRm{EheG$CMO?+nJY|x>wgW`vq(>FY{z7C^_ru>Cy5*e*g+_bQK2#I}MPv`|<^+ zV#-q(Ts`m-IJOk_q7o0~N6YQMIbR^O?&IQj7+TE9o-VE5JZuyGhGw|i-jY=A^#<5i zv`&KxXI`rbPVQ8LHTy}Uh>REw_O?i?HoFR4=u!(aihHA6popfXF!s|!)-H-4m(;HR zm5a5@jrI8Ps0j?N4>SQi=onyt%dcBxsr5W|a6G@f;^P4k_ew&-=&z5XgWAQOQ{6JO zo9mqqUT1!C`7QFg>r$(&z{Dtap0ux5bw>o4mpq;JC&l>F0OV)q6-{{ z=#R1}M-(c54-#>sp|E*S9u}8a&Yh=dOj<5@GRn}+mw-o3$-cB+xYmAKZl1Qk( zczo=^Lfly3xyK6J86r?d^_cNOQnqLV<&EjSC538aK{ewPI&T@aO&O43(K_Pa9uyqu z4fdt3(I=Y@GubIjug2-7vaIL9rrazM9`1jc_I}FU{d(QeVfyTzc`)^evSxx~6*7#k zaiOlqZI~LzU}UAWVyNJItCi@T@SVW*OH$+WIr}Li`fnJzgHmP;B()5t^`HvVevh?_ z(46b(n%ZE0ERl=H;DhM6T$_0vZZ6*2_sXD=`U?y9oH5bmB>X&OE}ZZVDQ06lvx^>E zdUl_EhW~RNu40HbDe<%XVSINd#Br0#e#Yh)b1j%d3G)%1HQ@(}CV><82kr;orljCW z#?#ZYZvnU*XwxX~h*y;}SQAhd%ifKRC7ny!cou*jj7w0{)Wd~OhNVyDjiB!!(Co^p z*Bs`EI_)y;F*2Owm+UtRREK9pw z2bwh5E{Px;;2>OnIfg8p7_DR{h*cC$T}t2%*)Fz=cxwBG91VNih4NI2f!yNSf8W%< zx0d@XIT?BDU0{|j%luEeDB;ymN&3Uya8DGu1dMDg5&$odAncS{T}=fgf7SGZweEsO z{^5e}Vi!wS6DKb2a3qP|sQYEdu*@oNQ)+=$tNcOfBh}_=3YLi57x7K4!4MJmwPh3M z%^*gbV_#?Ui_d>3cY=n_zAT93UmPHr%ftG(x&GXc7SgZ8g?6pv7gEFQ|Mz15eId!D z+#`Qga-Wq1&xI2`ihuPN$g-hyptreaaJ%-=-M|{gHAsK+1m;5*%Z3q62~LxD+>VT%&(640p7{5U@GE?N zC&z{*dT!}L?O)@0T9l$VB;=ZhB{L2by5>DUpGkqcF-bWlC;qU4hg7SQa}0?nTRx8EvGiSic!ax zc4%+#eCCVaVVZncy=k6MB}5%Xv$( z3GGJnGe62r-DjG(TiQ(-O6o#+JxjcW&u;wAH1$OjaK0=0@L>YUzc;&|@^6@#8=&BB z=Z-RUdnUu3+t=QC67!j?die7$E$@q^Bqw-WOyK|#2mo8@X_F}eOyDcYbn!dhCf z>@r23a>v~L6s@UCyT9+ED*}cKCTJy)Ir;J9X(orzE zU#~O|)f}vZ9L@7Lh_2}@tS-jzy4d%8o2t^u(b>vFG#T#}HlRCUKMDSx9 zbL<)um#4(f6#8Tm=XyrOA~k9}@_v0dnbTt+3vDNKy;ypJz4gRP=!^DFGv_aVWl2{N zAN1gBCu6R}XEam&e0BUpof`OKWskm4v68U$&yo2|5x+Sq#C&zAaZtEWHp1fVN2SeJ z>UzaLc`sgH_=O*-`0`Krf6nWzAw)hL`W)pEVL|;J(_4izlfe|*=-#82SZ)!n-IJ9z zeCI2PD_aHM!ltJVs4$&yLm^`tpWoQ7H79Dk7}NRir0`0F8L@UAR)!;Mw&!6-u0_}( zx*+>p2{xt8D|?0QZ(=%#&&&L4ijJkpL?F>A7{i_pF(a>avdV18sc8!nujH=m7M{`m zCxnSBJb~lN6kR2zY252pFnU+G)St6#t50 zHhSl_lY7itidt#o?8kEq$k=jNq+MzW0)*YT|7?AJzL@9#lxl_I{pl+eExO;tg6dXR zrpEaOZQ4fh5U;cTbA))D}MJyclS}b%7~lo z2L(D#G!(}YI`sJBzpnL~@v?AmJT2`CpPC33US6D`Kaq$;d zYgqhZShqNpX_;B*m;skegG@iZ09Vf~PV)dP?dG}-TtAgB85?)%ltqfr0be(_;W87pYZXoy(`;HXTPFEeIc69 zIl|QvUy*P2N&D|Z-5PHHQZeX4N(GpI2esK}_#)M5xO|XXu8m`dJ8>x0FNp+w& zk-5F-?{Qq8m5baM8zs?Q|8tTX)??`Mk`XIa0>bPGsq!NfuS_7|#rMrvXy*Ep9Xbh-wc}jjavE-nung%HO~5DvaQCMImeqvP;oTQ>oO{0+ z|2bcJa^l_Vf(8fczYdXJM@ZZg4`3wb4B`bhUq6||QWGW@-`J(RE_@xt$Jwc!s%|=BGNr1{hA_m$R*oj~>fv)W zwLt5d?MseS){&>@4IQL&78WZ~NlB|T-%(qgg94pU)HKNN-73_~F|g6}pssb@yH`pi zJVW?S?~fMGXDYv^uiMus>`!dIlxVuvDQ*=aC1CsTzfXVup*Uy6jmV!2IlX4LeTTny zA9l|*>3EWtjN&J}a!qXC(6->B_(<_cPHjY(TVek_aO|JPc)_@#d8h( zWV2Q_YzS&J$nvKmN_h{~cHcBkuHMs-K6)LiX=nOz#bZ8~H0;UYTv-nx{M|3sAb{`q2DHeTBe9KaFA2#Xq?>F1epF zd2m@{*h}XrXJfc^EuLx3A)n`0Yck4}`6QyPxlkk?_ zx@d$w#|%q{!I9Xj8y*PQ5}>weUggzeMap?iJBBYIzzj|y|)9aK{DcIvkRDS%& zawr1Jj-AiboaVj^`Ij+ODOywV)6py}?lRUDushyrx4RQY zy6O0ca`Kg#n&(CjSLMrI-O~bx4%@*AyjAOs24s0%Xa(iiHG>7riU+SBXfF>nl5Hy; zuL$Xvs{Q9#TEbbv<4(kkesnJ#*AK;fL_eHqi}6}pjtN?*^kkK3#WueEj) zv7G1iy&>sbJtkH&S$+4qMANXcFz&98u|fT`DCMR68G1 zzv6N!IeU%;O?l+s(IW}qc(|^efBvw*l0QRe!TB+I{E0!qJ(l?z-gnYz`lZq%)G=h# z=Dy7cLf^(2*Q5tFco!umX~y0zu!$W$AD18fWg2_=eSCfo1s0B!R>&bbOW}ve{o9_~ zb5FJoHddb;3#)O@FQgPA{|Q7mg77>wj{!85VLdPEh{wi#mN%NXD#4~!SyxviV}AkE zD>jfYLq~%_YK&PEMULmTAWpZD(d6f<9B|rLWh30(usBFxmyC{|2JUhgq@mt(^f&<` zk#&D}ewuDi9wHIk_B6SW|32|H;wx7Oi3{fItGI65 ze0{it4}XY(NKDLgHSIio1*`Ge*qiG=2wdhaBlOCiY$jy%U)S+({Ev{##EM#w=qN&! z+Sd$6vwrR5=~*-tDn&U8a!Aeho>%BSN^B!LIgrU)v>h(EK#QHzA6l`QJ~^0MW=;%X zCW{++o&I-&@Bgx!m3Y4;DUEnrDLlStG6*ixbR~t&=PwV{aVwKi8Ioy zoBCe1$&JM44i|o!%3Bt|tEl)-#wB=QH-+pLWZ&uuRGy_3*TjJ7}!($}O( zfAs1E!;9NUx3hi{ce{MC{f&Zxyw=e-*Wer4i-WN4=hsgf4SVKhSdr5@E1D?-4`Q=Xd>3ej;@q13IALB<4nbB@NBYxYVO|+Pb?^caz++ZdQ({^quMqk)+N z?K6`u(!g5>IW&7Q;Yy%nPo%G>!*5SPP)dQ-d*{LJ8l|)buc_Cf2mw1RuanlZqFxLv zQBQZ56XC;Aw}8$0Gya+070%WQuL`yOjjt;cIMJAfbOl8(4OYJukMbB@(Ebn$r`x^K zI4PLmMjZ2o$QmY0Eh%Ss!5H)M%)bE(BLxF>^jkp6fwdY13E6Z(9XsULp+8e0>BS-8Qx{Tt_Ck(&AyrO>FoxCWyFd412i5jhs zXs(kB|HGXJzv?9)odks4czLaq_Wx#m{*XIz4C#9yqhossQfaRaB7h}`{1ET?J z0TjxK)=ioP`2qfyJonG(PKN_pjW0|sfBf!Q#7tuLuNHw*^`=8hB3Kl;>vb~cwi8Q{ z2OL0dUXc(M%A0JO+PWddhBtbee&9tCNHbWvxb&|oY^as)?v9J8Md{Ko_r<+ZPWYcu z35i`I)Lku6yL7?--&z2Nm8XEw10PA0l>WFBRxo|&$o>F|)bx>9*eAc4o~oO4LWlnh z`&M(7uJ0r8=&V2U`yjjb#dowecC}ngiQ`I~Jd*x6&6I>Cu(Zcd8aVfR3%IOOIHXw& zc*sTMph0S<&N&|kyu$i)>LQqg+Y;LrDai$ zhQlGX@1>v8XTP7OH`!XHe9@kva(mN_U;jOht9B{L$Pe~ryj3e^`Ge-4cWe91GZ)#y z)kIe_-Xm|>1=q9@>Xi|-&@m)swYVN6wr*_^&H9^%k%ZKzUSPD)Q9@o$<5F%$Kf3y5 z>9m{oOQ?Hp4-6xct|@*cOb+n(XZqidTR0Nbe{a^&&f=WB-tqNn#KzlYv$C<5Vq(_0 z^gU+DQ8*(GQ38(>vkf@?sxfSBr<(>M88?!v+~)jT*Gua8RVTy{6~rOQw+GPd$MlzZ zvhZAv5H(@z?%=fEn@cU}m-Dz#!Rh?!G*5$F7oM2UK>UAfvzlQ|RuC%HW?!$~Q5w4@ zIGYyBQGG<}#CUdYzrp2SeJ)$IrpEsF)H!I}-wR>UZg(K03R&JgJPaW+1M^jDdUw)IOP zm=aN*NeV-MkNF4nwS-Hd!3C{8{s*f5HT8b={F+~SgUt2>N_Md%i#Jt?#OEV-G8Uzh zH1;(khi(tj|Ia`$T>kq2aGf{l{`?LdM-x}7n4*M{S8dyeqVMo&HkNn?x>wap~ z>7dJ!49R)q`?u!j`g)m^<(kmCuCSaM*#0R>uO^H4IuRl)J$P5kS{m1T%&*ssAB=nU zYASkUu{Tm{)9clZPB%{{mA@Buc9wha?~8wK?|Znt-%A;|)fX-j4yP1q=PvU2>SN>+ z#jgZQn_jI~Ofu?>RewJ4e{QnEAnL`eDwEa|jlQ@~|2to*;E4*3ck!FN=LFh%cy-=b z7EgUs->0Sf*^K9giYslGY4?W`Msclp?=4mEr}9j%&RW3x|8q^@xFkqma*;P+hk{O< zDN1(RElhqg2E>QA0D7}pNZIhiIXuTO9(RF{hdv_ED*A;M$z>Wbe;?9<&zfhQ5|TVP zbXt5nF0ZjC9-L0M+$Y<97huY_T)G*+V1wPHwtd>^@b~g7JdnWRQ5u|*5dP;<+LF@=iNl%O{92FMt68L|3-rUSsVW=_YFKXxVdq!zdDh9 zb_?KKx~F9S_u&4?j6-9bltYx5nsd9FREcy6CiW_63JPnQ)sFrh!7r)?0pmni5YNWVX;qn1tmftdyxcVGDY2C&5WKC z0A@Y3Jptg%hKjWR9z`e!tGOsb)i~+{rPGQ$$Or>4PkM~+HMhs)1w00bXCGWRunmWz zw^o0UbQ*Ua(C~Ut|4bX@Ca%@resjyDD!O#GJ3!ZLYtj9*sNcM-=ry8X_=Oa7fHZ(H z3mLwgIe5_iu~*yZ1zdJZdoygzyul#mx!&kqkjTCTg9Px58T?jFQb$xWXp@+&J30jV zSl|jnLliwbBou-88iU0ZHixkgG!*~>VaKi)X}Z<1@DBy3NTP#>+fA$fDv#=ntAMl2 zMj}5%aqZ00Yt>mXout*4JI_XdZIfb4dvyCgSYvqB=}o7g0gxN`!c9!-!m3A_!_0Sq;Aw4uHMC6{>@7f$PY zADJcsOviV?Ib~$;=Ei*vOs}6wlz|KFk@is_p)qkq$-twhi z)69pbr=lM@k%Q(x;}#dn(ow%lB1Kt&wMch#f4FL3_DuWM)ekn^lp84=H@n@k=v#NR z^M-QE9xb%*n8HIBuFw1VxpJCHV+>-o2nAGh4Kz0Uco;8oh5g2x@j=Yc7Bro6h32|N z2Zvk4WSP{$&CdjLi0ej+uZg)Eah@A>_m*~e&An#b`U#JWtF(zNRT118MEFXd)iw*S zTKoGp+ycEBw1R<4qcl-0)N0@-`t~74)(iebJ3uO?k zJ79S6rgbht1i{wPvY*mxCJBF0nurK47a*03fydC&ZgTJAw2gqeg)J2+tEP~&j0`k3 zC#h7mw6qw%6iiTpEVxPT!k(+i%Z0_o9M8ge0j2WTjs*z>0wJvp_PEe|x9wId-V4PR z^RnNPVEofun)w!KtR;}31Wf6+4FFl?Uh02U(PUbZH5mZjrGRG2h=t<?O1bcEzNyuA*~H(Nu0X{UDfafC~GL$ydb_VMSm?MER4zH zrSI^zYYo^ibOe&$jQmK(zsAYFAH`VgV1*fNbMg# z0^0Y0!Q~_&%GpuO%?{OtfTjqQ`k9@hX}c=pqZM6qlVumRqZP?+=$cTs*y5x}->x3r z9UNPRbBWD&0S7I+^bhjFL*UrO? zLzHu4xOmS{oiBM9%+No?ZW?gfx6_!z@~WCL_))(to*>?cW*d*R!8ks!+Q*zsk?&B% zx1B(`M^@MY&+s&Nt2q}r{LG*)oYT7Rj`ak1eUp)sM_6t~-b)9=M_F2~z8S}F+(JTk zM|<8shU+^$oxD65*hcZBFXoBWWw~Ok0^t3DsZAXt60b09;?Syqkmp@VRXA!PffrRl3=f7W0!Q zvnxvX0T7B$K+yJ;c8l}Mm#V2dHQmK*!M(jITAV*_f1_<<*Mya6u-_b5yks;qu?~tQ zY{3x`*Wjn=UuoN_4y4jlq#QmEh#DFjOGZ2`mUa--wmYCJV61o`?->3*?Pj2)@$d`M z@xLhXME)D>j1Dg|&&L+*?({{vc|4x67cx1qs10lLTl^_`h0M!9r{-w&8m~K0B~Ff|VpamwcCK$y{XQ0%0%i}nWsezW zwO;}MSjyniv;$G&3ONWzlueccv;4$A`wo72a`K`ltHcPxeG&KKBbp2XLI@u4vMEQ` z?9zLv*&T9a@6vg5BKxpK&qMVyh^oySSpYL$$*r9iJHF;WwSl~;jQ%jH1{Yd@Vo0WZ zRYPM{4-@_6N*iYiSN&%s^ORZA9|v#vWk#!8M}2mk))+Hu;-9}`g)!~spLGYRI$*Z7 zpsHPPbawv9{7&AZBV~l5M_wsHO^2gkI48UIshhR3HbygMAY4& z(nG06^=C^odT=1cj>2M2MJHf;uZ)5{V?l0EM;QKYsV-G(R-dX)Kv-DVUBb};S47o} zYo_+;UQ)2Dq7`-aP(U_r+P$q5^JV3Xy*O>!>~e%!T1>X4kq~=Xq9(4n=mx|obM}mM ziZ%xLxR#yltVfSh-kW#llp^M2qJKy|PurhN&iwT-Z&5>yBdPoI*Iy4`)?~t9-%AQy z)Ci*JrVNy1s(~NsJt5|`=Mh(UjZzA&U$MYU+WRVUxI#IMh6Z(QE)tyQ#S2K`5FWp3 z$xJdu%pS9rY1?w~&v`yA`e;pD*^_wHF9y-)Kc)w~UU72ciCc;JK{HkN>VB=)FSw20 z9#HzrEz{>Dx5(zNUJ>7n**WBN$|WB#r|{O7J|LR$l(Jkm$%D zBic-snzLd&)TlKKY`f6FPU;E?TRk+SV``r&E7=81%m!SGbR7|dzHW#l`h4+4X1(-) z36$Brl_4EW#3Ia|P&{N&%%9TroRrd0{*}?Y?nSk{1qdn@TRRe2gDAK20HcLPa@Cw> zA`9jUZpmf3(z-fY@Gb~{E(ZNGEO+iiOej?~>2JMeX6zdu4~BNrmSw+%4rCgD%?2vL z?Aw^g7d}vZFMakPB~ozuP*C%Rpwl`PI6F*lIfF~eI{=!{iHYfz=8sy&<}EmWV=M#O zAec#@)lG$`rqbu?R;k+m1XqC%KTSuQV!`h~#h0|z*38d zCW&gh?Z(mzG=JH=p`oWRLSgMpt*j&i>D+aK=ZlWtpby@JXycmW-RB(zeS3cTkQECR(VvdIyq|G+`XbKHwQqTW z4}@Q`=62v+k9F!A$yYwd!Qg#=#s&qfu@P zuyK0+oEnx_-~dc4fZA&T1_>Cq1}LhNLyRlp4sF~Q4d{a?F22{c*6F_}3beabK@QEt z;Tao!fIg+Vh7U)qfYDV!`r_L9ddue|Pdu0(fZSu#tJU4j?I!xh?xgLl>k9S^4CDx) zE~ciWT!J+fy04)x(eJT*=%V64)F)>5-JZhP$5^Pt1mhm5-(SID zWW3z!25Pkq$*xG5W@M;-4RAsYLGfQUzrkV?@su7| zL(VgPq!>giZU^g9P+s7(o@6_G{@`lN&U<=|d{n2*0#c7eBzNQ2H&WztNAmTrD;y1% zarHO^iSC$7Oe)DUXL}5q74sXsd2tC$B-z+2#uFljBsL^QLlGZiEmGX*I!#|IwU>>2 zd*+yiRA<=8fBtO~NMyKOAD@47Gyu9G3V3cjCoObnFne9h4M^WbuNRdX7E#dHyo$*n z;8&}vZ?L@FRo$_}(X;*_!lcDn`#1*ne}9SAHq+i;bRqNHxV_LJb)uaA)Ub)Q)fR>! zHqd_Q`^KtWcwn&I?TfsDzWJK6&NY z01<5qfWuK0qAL`g;I_G14^Oi}6a}pLOa9WOrvOb!8%n)4vK4`OyB`~}88F0VJI#ldCV z2*BMwufyOYB+%~A3UP6H1Ls-wYBY_24H|F}0Q8tMEixTm@#$*)#z8P56?|x$Q6ErQ zz_?R=x)ry+cPCx6$IcR2z&y~x4D>ta!B1R~LrHtq+QFl;qm;lx>v0ye4vC|oK}*1s{H zl~J*03A#{HP;7!jNTA3@wnmN(kg#w)kp)gL$0fmU=grjFx|7e!Iw08_VStB?Th~2L zZVo80t1+NYa^J>9S*79Re*+K_3ew&T$b6U;jGU@+F)Q_NE<4YK@?#96pzTGTXRc6< zAV6n7$7Cy882jeLc@7{Tys$a2=s;PL7@+w0RzJV*ti!$ZI_^*6h+F(sW`Rs!+;bKQ zLcCHKa9vDr+62yfko(WIim9-{9L?Y%q*^QUPBn1mnf5oPk~F$I+>#~FH1#}g!Y%L0 zUX1C3RL9>jPA$yT_Z3VYP&Lk8&xkn}7ozCE#XZ-WY|(=2&ZzBF7KvVW&R%u*gA*{6 zAHz70JE(^Ebz|MdX>H68b;}WtRc2k7uTy;ecnb%;Ex9cNzG3Pp zE?#7n)9o5DRZoY7)}$LduJTj4Y|b+!mJ2rMVyMMmRByT@-`_Mu<>?u%1*ekp)JXL;l z(2gVZJhiSWZ)w{tj>!*2D zWd-Gs)0l-;#~W{}MPQkA-X8_Mf0{OX*c?j54&eA zHA+hj=Z)=fT@TcUB`LDTpc^JGIJn3TRpox)k;4>h6Db(;_=CE~oKGw)SWy$kSg~Ve zZ+7%WQp|4Wi|)kccHDk2%rF-k!Ky^x#uEwy?|g?MbhTvs{-WFm6RP zsYKTP`4PcD-_5Ei-@dcIw})DFVt1ti2&qvT6%7}cJKf_z$)376KZx{v0bTr0}_%HOa6 z@|L^X)pmsVS7p4M5m5PAu+p$CGWFHtonAt$<6{JBdl> z?s53JoP0aw##os=@pg;bNPb}`KhIar@RWj6Cu55naQsHe9vqqQ0hr?v|&FO~dl({#Tu_Z(4>^d0tl0TXMg3uXQ z{v_-vq$))*+yVQ3hmz>Q@gIiX{WdGfnX<#Vn32Q(A6;(&6xA30|AQc)q;v_Wl(Yy) zqjXCy-5nB3w}OCxfOL0vE{H6w#Daj7q=2M!gLM7Qe!lqkYSty~GnN37OaR!rFZ&{JgwRf7|{5BZB$i z?&{EPN+~}N4+B-=F-V0)HZcLV4;$e2HlwXDD2XU^hN&uQ<;z_AK zf*JNXkv>YU|EzREaW#*3RAWV|sPS*+@o%vDROgCW(Q;V*b&~!typ-dDDmF21vZ!vvuqZ3b&jUs?>0d zva)bkGOykZ4jwQz7Tm``clYpo$~M+IHZlmxEr+ju4R;!^)MepR&PqSwj`QG3mBc^7 zby)QD?AU)C_D3DP3PPCUMM_J9-YZM=PyqntL?H_Nkb@T)AMBRh-bz&rfFi2UR+^Iy-fAu^6GBudkM! z9ZcVy+@lkxU|ATbbG}pZchbJ7V4IqrPPL4!cfV32h|mX;1G@#{{q606NQjAv$xQZZ z4SguJfV*&(X&ZRr8l$c3VU7A#rfpzx*NCqRiVrq6HZv#ZEx$?jLpJvYecO!HL!Tw_xRU)fHQJ~W=kKFD@E}9w|MBOk zvF^5MylWv2h@Rce<{8c?zSdtp+}hvI0l)KxGlPeHPYSlH$T3jdmfcWR zG=8iYotVH$U)}?r^}Ify5?QqTxcfYokiQqGNf<@p$yyyjD^h5bGgRFlJ9()8l4(7o zKI~c%mbhj1F~!8{I^RvRC<>SHSq|xn47*^0DuJdMn8XGB^yf~jX7pxmc0|pnEhauU zn&n`SCg5!ev6u=!%PD2Dxy=9&(GFkeTJ#G_JiwR>*4+PSP;$+L-5yuPr^aT$PA7D{ z0J_K@OeVaPi%v|=yl^hBsJM?G4h5U&L&E~-8X`^1uW9isKyf#A@um%01CQW`F)5kY zS>VLA0_0Y4hxKIMxWB|r4XPm8`T!G-lP2|oGkhuzGGN_+V#((++00jh-LW_Rd@7`! zMFJpx0fi3O4&pTah5ucwb}t|bWsOQS)AObRO*r~haJVQb1qH4+aHD-rL#xc3urar~ zex?cvK17KiFR|hNEm7aPL9dXBf1xlDl9q%}O>8qW))j0gtmxFPZ$y#zQt8!)zWf8m ziCQ4@meM3=4|>yIE2(CgHT>4HBLgR=c3l1WCpjf0g^W`OR4Aev3)e=K3kwUSbD2tP zR6)**t?Jh=jW-tB-+D$Y^`UEJ-0bWmw!lNj=4Wc2B8uo7EL-D_zk2PF1a5K=*mvMI z7KY^~3+Ig60tW#c>TfISWJ665;G_AP{g&yg*19Gq*C-ZJKA@)_f)|LA9jfqqi7;w%1b_v6yptoD}cLR7CcxKe#k$-t!S3 z!<>Nb=1bE+Bkre6P{W9muppofbu;BL=4qj>YSfzz+~B*ngtPlq;ioRcN4gZb1B$+b zT~RtP>}C1eQxxiDvw;Q9Lvagjr?)@~IfE5>KK}#?TTV%%P?z`#)8K4bO$V6B(&qA+ zfYd#2u&s-r&R(@u5c^)pu7mPW{h(h30akkb9w>89tL?}eKRxfX%I3tPCYCG+AS(+0 zd@MA&wYMi*k;l)XuOXJkyp@@9X{^%1N38-ToGEFxDytr3|}~QOVdQW?Lf5FDa|Bb0q(JQ`-N?$1&wf&W>R0T0_0kOZ7Ag2@x>d)HQI)gr`AOQw8wd>u- zZm%1c{Fhsny9U0~vf8C>XhuEw`83_oW^x+A#?@{+Lko|NeEeI3qqx z0I$nZCW>%vs>*;R-HSJy+kXi+fA}wIVHY=fd9v-gF_KrfJqI1&3|?K$K0ci7ssT*n zsp3pc@Ny?&yT0c-*O_mwzX3^nK>vk0a8E2%RI*P4Aa<6`u6mF@q(Gd@O!TtHp|=0? zld-+l4Q9Z|O>Zn77eQFG)nW<$gaX*xQEG#n@~l%U=esPnW8NLh1(|{SdhT+plbL;=eS{FR@uPQI^1hV9m`k1$l z?hu1&0NFNl8{}6=LF_ioN{hKpBs%~obiSK#Hp-pypGMjol*wrfni+Ja?JV>9&0_(G-Q6pLhJCvNsv-Y zZ)9neE$9HK|HQiW)s~eQk@!sYfHdD@u!|WdP+S~a1t^4hnKJy?0DPczmaF?;D51K?cn7ppN;(+$wjW0)y z=x;V}3)kC#0iCwbyfmj!_8D+G(_Y12oo{V8R8GR@gc$>&1InL3<=Zvr?P=KZdF4Ex z>3%W5)rzN-!Zo(pvKTu z&zsPUlTL$n$*Ss)=0GC=H|93B6U5eW@lTBuZzjZU4Li`+B%F8J&Z$M535SjFY=v|C z9?pN|*@m6hNU4zMtKQZO)1b+f(7v%uf@ypHc`i&>MrUMe8Mlmzf*UaQC>wcpORP#0zo zg1llic^40>P5)@kZto{+Os4{xDGoRmec*YXsV*Gl8Kz_8L3W^rO(Sl~{*a=NT2)(d zz~Xc<{r;3GFiHz#%c(#g0Yx~``Fsr65-tlf=!|5?fFXn ztFtatP4z;$3M{t3I)DasPFqf6IAyHZX)3F9Tm^E9k&7BdI^E+AHjKp|Jk7wM1aI8SHie znsR#2+RwyBzZ`&#vFF=J=_;S&wGO9dR0cZN1Gs9eh%8*hY=p8h=|_lp0}Cud;0%C5 zxDHu`nbm@OV4xHT>W`p^(G!Ew#RH-q#(x}f>P+zFNm0(P zMZo>pc?I;LPVht7>x-Pb7TXOVLSk(#(a48@YXM{puxGZ=*RLXPo`d4H19z$@>dYit zroB7hNe8g0xiA?2DGj)*z&L}3&UYiP(!R>LjT(q+KugKJDwPa@B{0GVf6y>rj({X* z*D5rxpx{}t6%VBgaEo36IT>;vFh7I+HAv!eKO4^YX#z~vQM~Qoo;62rPz(YOY7{7* z;$ENVv>%c6QDBbyUVz$86(owi`s+R5TStNyng(W2V0vshM{oW6*wfuz-gh2E5oH*7 zoP&jXO?eiJA8!Ms^nd;Yqes6F;2@PZSiT-D`_x4!>wjSObrNVe zSoea5@8uXd+<$5P`k76(7gvPE_02O1>QsSqLiuX=nEz6ATkEZx1gw)d(zV49@E3a5 zQp6=o29!iTqWa?)&wuq(``_>`g}{*Jahdd1AVdPV1`zEjgvTbnbk_8@0DSl4acWvx zX@KDd6ET>HST2eii8)PywZrq3=l&P76m);LA&O@%w!$-{S9e_!222j~#nPN*&7oJo zA#q{?WtRaUYJFxel5Ah#fyURTWfNm#A>bhb`YSUq&f}fm52Q6eHy*HuDH2He++O>D zHjM9Ja|QAQNBAy9`XHpToUovy4Srjj#xOPZq60D<0EB%3e54zxX3-3Bd{RPF`qJH4 z>kMYiI-m zXn3ESwgPI^0wjfypu11`!Yj>)5eqxp=RlLSlh@>3B@M7NjI=@oAA$09i zr1Piva?J~#ejw`t=HvAxq`j_@{Yi?b8aWuPV9B4~)8}XpK-7Hv{3wSRFu}yP)&Kq3 zKo#3z`X$p%5ER+Lu^(QWcEW(V0fdD|cv$Kva=kO#Kt0e!)}H}!T_T+O!e6|xu@Q)r zk&^G!SK>bliLKi6gBm+1q8As-);FhVaDocH4O0inQXFEgn;IR1}wCJ zMI-Plj_(SvQ5pcz0tO?)>&gW$gmR6!2v)NGe3>yAe|8FlNR2Sqn~Dj5$cI1!M;+~9 zO-+&|W8f>~vY<~_8qVmaA;8B6!3K0OJVKrDEZFg4`p@)X|Z z&${n(VP^XB1oU0#vKCDMs5`JTtn@W5gSyg&gY*46Ie7x69n?v{&Xp~xzyp-!|DA!^ z!3Fifj=rhoC;g;>(uM_qtLGS0l!krPLQCb<^biUzf>71?fV{ ziyGrzP3d2ELCtG3Rh7WO@MNGlV)D~f4gV!;Y`ndNxYbh6ay_@H&~gBJr1&tMY)kY} zXY1>U;+mN5U`e~`50E0oDT`!$umldV%lI0%~@aBUk~#cHz`bH3hq3k{wJ%PMX0e;WVbB%uVDFQNhF z!9^~BladE?W|+S{4t>JPj~BvSt&i4Vj17AGDa3`I>7LD8Oq6E3E>+Er1#}6H%qPrs z_ud#+b~@}y&W@bBumVufqNyME(;RKQsd32iN|Tec9zm21`BLO>im*nSf9D6iVFJ>6 zb{2@-N+O-XmILd-vg{|c;#0UWG~CBoC!MvqRxYIUO`iz~-S1YTOg~k;7V97~7V;!l zTqiZr){cx>dV%N1&G(U}QQzOp4xe_-wP6)k?x3fH^8l-h>Tz#5l}|0@Kii#F9@-rB z667Ctiq|z0ra!iOw^i8{LZyjMD_LNlNSn^&O|W02)BD;($!Y zJ`J@Zdkc!C?$fSaoxkgXnh9HLOIp~Q{v0dWEN-=|97kPtJ%axk!72&5ezM@S|`p_*={`kW_!kyYz0{3WTD~yU0-Ifn3(XT?Wyy|1y~OWee4_0 z16Wh!8S}rIeVPKwbD`1YDUiXdAC6XT1USoNsFFpS#>#rE%11(kgSo{v^_3HPZxmLT@-;VK|eIC%PXfF#TXp)sd9j8}sa@4odc^R@(tb8w1>pl9# z*J#%AcvuWZybH%0KCC~{5Enp*bez2}!ZgL8#m1hJ8*NB#Lf%sQG){i#L!K6-dLgcB zc|gscN70El5z+J0il^k+A~J}3$~4gs0kt}`Vzqf|oz2Amn(@_Pe~>e??!`G13l13` zmYX8o(Iuk}IX&w@DDo<4`%2;@aCIw%76LjBUy#>K6}I24G8$_lUXcVA)fXEa_fJeg z8!KoL-fhe}$7}KLlU~-oIH9%ywn>S}DFrnab*UWq$OfoFWdB4Sd~J~Fx$qcAOFG4C zRSqGA`BnyAsgOOl_F^m$?vaK&#>+W`Jq@=csZHF~X`)&7c@4pE4iN zaYDv_`xkHr(45y&;Ax6t__czIaQWI5nqi2N?>rxpp>J^+w)@fgQ^OV_~DKU69k6RcK@il zMemFdzW3=sGc)4qRRy_)hr{DQ?-jDIo}Qe6?ki-ad^8QbO3}jbu%NX19f9fQQ~8;)JnA$lpp-Y^h-8Seny zE%o<&pt^DLjLwj1<`lBnUZxAAm*FZVtEQfYh$h&xLa^ERzdQ{^vZx)I9lmKgl^0p- zU5ms!_2ss!0K~6QtS{aH^!>0P{$j#4a;TnHf`dW-#yrRKf|v_c zXgkOAvkXGdfd|#AZb7S*cRxRNEmd0mz@A*i%+uKaFwUDeBm0(2KCYggHD{a^Q>p)o z0SH>fhSqer-u=_61ZCV7ykj$k0BiF)%6lJP8&|$&V2D5>2>)WiHE#MLVQ>?uUfFI8 zDMY-1-y71j_^Q{n@61pf9QK7oX-_1L&_!_~?_!SII9ySI;U_Q!wc(-?*e)59RE78?u%~plSV& z?bPNSMX%WO*DFe=jD?R2@F29em8}m92r?xFhZuTl&s(eZ3w}9vpWb=IF18{9?q1K_ z)npi9CqVFZ)I@WC+|fD1kTTxK8D7N_U&f2-D9RHN3xclb&Y54oT;CO(8O54+jXxvz zGNSx$B6h}t_Ptq$t8*1UY1)&cu6eeW`->>E^+M1lhC;(WbAx@<12;Cuui}QWCT*<} z7d~~y;e_9=4%@0`(b0ln&`0HrjPHwigV81%C%ek0BrEbCWvAY35y%|8;)aJad90p; zXOBZZAWcUv^5`i>wR1&pu~=_H&VIXKVy5;3G>LXQA;+-mcwqI^Z#HRHTU>=cOb>7T zxfuTE=DhamSLJBVLX&wvI+n)HWs|QO;|J}A?StjKm-*{+Fk1mnBTDJBR1xmU{x#}S zR$_;lt;3-*x{kw=vn+Y`S^u4x-!O&;_okXBaII(eTX?j<_yuRtb*JVi<9u6z`&eQj zReC#m075n+qUOVl=aHeF7|iV?{OV3KA#7l zk5-7hCi*@jl!C>0{TG%s9biV}d^hpu{KCM`?A7D0-|Fj$9FdF%5@$8YW9;F@-kLok zS}#z|8(^ncj3Xql`oy!CW4sU%&gIa%9*7{rMj!~3w%KZ%ZMLo|o|YvdxM8p$;Xfe~ zS$iEdd%B?oAr{__Q|cWa{uW3{(YCv8mMxSS#QKm=C4{k$5l9hv@HBZQmGz5FNM$}Se6o8k)!rZ<*V(U|;Xp(ST4uy6PC z+sUP3JL;-Y2s%3{;p)U2atn3RPyuZk`FQ1_O0d;g^8RWXcxZomV)B2r0B6}}>@X+N z8SdvCVP+5Y2{pH6*`g51lEA1-ih~?OW$YfvYV--;*}a_SPEt&A^wPYE>|Lo3!D~r2 zd!h%%e_y&2f>-ZaJ|Nkuqn^XOPWMePi$5>(827Dip5FCmhTFiyT}}HeZY`=Kj&{1@ z`iGl3Z0rqqnzJ>M$xnh1=VuD`ROIRWdf*3=@L~FAFcKgB>N&0)ze>8y{yTdid5&~o z4V={3!Yh;9PS-+sW$^qXu{04Zqf6Yx zyo#Ed@^2L}($7XXMds&fl)WaBrj81_qfiV#3Ow9b$WEHsBM(NJysCMrv9FWoVavv@ zU31vpZC)4LcDHgUcpZp%O>^|l|H;keS3YhN!aG_%=O=nO+A9MG4TV0q?-cWOULctr zO@?`5@P%Eb@*$#iI{Nt$j5uE~(W@fEATl;ayrlAsd^kk4G$#i|9D4BePKIh{hwl-2 zu0LzoWHPN@ES@sOl3>1m#3 Izm0A$-Oo;!0FA)YR5{fFR6cghb?LhFFA>F6^J={ zBe^&%ef5Jyjq9<+(~cpg$G`XLii@W=Z`U_xMvYK)Ue8YHgk3S@u7mc z;-E?Xs8-E0z(A~tPCRPcdg_goW?zv>Nhp7v)~-jDN3Q(ScbjJITtt{^=Hn~pBi{3Y zZ7v&llZ~p`8=RRYC*HS(Q_?+(cIHR6JJ@M zKk)LBYjlMryyuN3qf_=&D(%lWyCuPpJAW?;)XYAISej7KYvm>|_b?DUJ;lp|RZ@bb z!8#*>>9kKETEjqO!n{$Gu@Xbw>b(3YmKR&?o>mh+bgVHX^Vq}Vd;ImnRW>ADB*t% z{TlyHO5~0MlTTpzJ`!S;!{q@Ge&;-8y>EIikQzHx2^4ju#g4yL(q6JO`>#R-11~uL z-3?6fEaO`Ld8?(OE_jzv(|I`Hyp-j<5S_Ko&15dHEA{~_tnR--Bjn16VmZr}SK13W zn_+UfJ~E)ZLB6UhFCuR(o+hM3n-}{N^-gZs>?gf+FT$xi?{@TWU9ko(E#jJ7porsf zmc5D3mWn@cC|tSiHAzZxGytoElo)r5_0TtlBCSsP`@c`zwhkxDqP$nS?^oJo_zM{a zzc;m8p1ZOCK6a(LxRZ*TQnK>_o?k|dad+hL_3mcYu_gxu^R_KQ<`HhP#nXxP{)i{AMdg8+D}{w|yqLNT;O1eEEA*u*oGG=N;K3 zWTGKfRx_JYXH657Mt|U4FjIbalbkz<6Qrl{vlX%Zb^;iIRnMcjtFy zO_l9WeeN_<2m;Ob5C1|p2|?WM`ExP&SzMSWOin@=FOs45FGa>L2pQ$!{lk&sse^+* zQ{l)h77T{ z>87FRtcS)C-4w^b5<*;5>kHF5nWpj!B1bHIyU_Tr5GO_~C?yt1W!qM6!R$U@iApYXb)sbO;;3+=;O2V-x;myRszfQiG_@4nUCE%z=;l# z3f664#&oqF54}yKLTac~ zR`LDU;%~=+hMEO++pl;k7yKxP9}8wdVxiT?@9@70?#Vc`;Q#Fw{{@fs2KE7ONCc`{ zAL$4{z%n}U3>sMU7z_4Lr3hk3dxo>sGnEq{q8GNGxz(}!4f>lyTxee3sq20?c7?$h zHm$#f3^wY;8IylLc_9MM5<@Tx6PMo4#%dJMRjndRiS%Nw2~7;6uILxSBYJ!ecMcwuMW)8uS%$qUKsxtc}klEaYQ9yYd zUh6r;G1u^w?Cs9rJE}FC)11!wFvXwsdbz3QkG2!d%5@J6ASNGL$e5;~ zi-{Yq{3>r$A|!a1bZNoAZ?y4`hfFDZ5|4!lrW@A<1Fu3S1V>&4i>G+UC#`<%_TvAo zm#0g6cit~|e({d|*XebZYHNAj!)zDQS53pggSlNE4cnLv(zsRvAq2;4N~0Q(&sO+J zwHvVf2I&voN8c_IJHQbrHSW@0ZlU z9N`M%QzgGh$%oSB)4u0;0-`R@vPE2|m+o3|8m zIeN`#>8X1W^PbxC9KrJAn=r(m;ON%a2Qj^RNu$XatPHB4 z^JV?(f6+#Le?LV&J!~-0$(_!XiBk>9nQIi?Z3Sy^^_gGwSkb=y0d5xyW97s&)inI} zpRqgb)qk|&c^S`>(XrJeRZvpGy+Ut8(0aytyq zl0!#ZWyT0}JA?JPfyK$eRV)ETp>mBwRc}y$Hx9zrO9IXG?5zD$47JENU%5rRu-be( z<9M;=e9W4no!zvGD*G}dHdwZI(i>x;U0)7q>;8enzAWGZ>+S1}p4Czw8Z`sMkBBx; zh55jn-kj5wIwQF^#F(l#2Lq+eX|Aqw7-+g8CFoW%mwzuZPHB7Fv(5A>C#p_R5vZZ*NkCcs~gcOY+X>{EU4FOBIvCz;NamP zc6*B4J>M{taKw5{5D@fuoHWTW-|J>|SNFFD21A|K-deS0y&yk?q+i*W<1V)3doAtW zrXzCjnEL*(%ah7Z$L<(J8zG1=Zy4$1Wa1vhyuO4UqAuv0)$aXOualU?K@y6C<|(cY z!sY->wDS&tx=i*_`P99lrp_qr(0^?1@9u<#KzQ-@D~DMc`M9h&1RtZGr6An~j*FmR zG*n6e9C~{`F*`aT^0`e+O3%lDAiL+SbDN@?D(YgJE>yl&<`ILP8%Uq5c+t~!Mtlya zrN7zWX>ZAw@`+&k|9SrCE1pLfBX27QA4i&QFTG@Vo$L2A6zumBdY3t(Fan;9lx_Uw zg%9sYq=^Qa+tPmcQH0-;Gv{}&+SFSEvbBGB`nG0QS;VHBqC5I-|9;1>f;Lrdf>Wb( z{PVSlDDQpc{lZF}*es>JM+2_kQ*wNt3DG!D(|nIL{uAdJc$Uh3afU%-68e%d-l!P7 zM}%?7tBX5l(JO%=kvX*YVBzs>2+Ep0z3tuGf)00=zU3IrqQG*sbm6$TyE`8fx80P! z2s3vD)8PmEFWm+#-1pE_V}-36=)h&G;<3bwar7>AMAw*)Qz*~HCQ!r&9SiK>|FFJU zIQtggAhE&`b@dAVa7tRzd1M7_4)?S7kWCirmah4DQQS`6WZ7zr>e=hq2P>byb<8@? zOT4ecqj!Vlw727m46|%mF%WkJ&@?@vjbaH9=j93eww!PIIB4BH)^QSi$sdgx}6T)Ox=+oYtq#(}Xfu%-$PsRGtFC-g6ui^*^nG-6m{N&=_C9_lZ zNM&#_y$7>^pDRvDSe8rp)8M1jpQ3p_}#R1zM>g;q zST)JY%DPOg5st1rV7;IJ|4e01Pm5%t&u5m`B-nFwZpPC7E^tQaOAiaVE&dYPzpa%i zWBo6+shE-X|C#%4T4vZAxU0}w>IZZ-1+|wkkjWctv0QZq#51rj3JPBL=#&$c%&gbO6G#qJ!QG*PQ|scG1-J3}{}%gzr7>d)ggO`Pt5etuVaiI|m7wTm{!B z#R;a#@0bH7rjSRd|G!uCz|;?x`clM0q*8m2Lt8D`Dp_rT*Y0>1953=0mDSOP_-46`6HCwMp%R zLt^trXeO53bz^Nm+|*a(+m2$X%gW_F74oK{ZhM5U8h-N0HPkApEI+jhH#afnjf~3y z51;Q-{mcj3kGM^#h%v*V!jabRa6COQNwK|kABsJCJfw|+&(&@@HZPdwtY53VgUXF&V9%*Q3| zE=WHaazlzh2%@wfpv?=UGeDZcqK*SM*$QX~StC1bkh;nDdtCt?oloWM)s6XY>FA7z zD? zcTwBxLC;D#T-P_gL%)GU=`-DkbPwB>ETJG;cnC9mt*Il4WkC9ct^=K1Ne6BuQT6l? z6q7);_DF?`Lfv)FmP#(XgZ-N$8gA}@$;T@(6#>Wi6~km@FZ#||+F@94 zvR7wwYRnRI6vnYTI?+=r$`$z?{M3WuS=1js#w=NHQ?A*DkL)@i+`+grs2bpUhqkHLM>T2j#HEHNIu!Z{;SUT^AVelR%3^Gh3WDKkTJ$e zBBf=F9I|~sPM-$*39~szkK8xU+7TXKnCku*d?sw0gp(AUq!T$c396MmnkAXLFCn!g zmP01upA7yGJwxOqAfi_FXd!5>cf@_j*W#1S}q;HVEBrmU2pZ-aSXr`TkyWBI1v z60~jrWmKtFd2l_j`PT3v0RFa{I(fP;?LabKFDxw(8~{kT!54s!0)!5aqGe_FQ$}q$ zetaj5S^1@F9!P~3W@j_XhYzl;U{v>uDa|k_(tSdj-$MX(1|F7F$2~v)E7FLhVR@&PPwDI9nQ2ex67_+PRW1~YsS z;_T^X4fbUq$5*3Hn&i(yp?F-pmA*L+59PCpHAad<5&&oLXh!3@E6GjGI@54kb{UUO z!kvzWARBw24|j0NzEf9+_v2HxC(C|21q=$rq*drNu^-Gxa;BnZ9<9hbQZ=ubjxa`P z*E}#Y>f`xr$MKM~N>-E{qZg_E%Kq&q;^gjzAzoU1YOA{R#y9?TmXuiWeE=%Xm`%mq z+xO)Sd4)E`_kbp|4S9jSr}D&nRgY?eIU-7E$plG~r-afga2My}pV%@J=RF|5pALCO z7aMGm#yBvnHvPBsd*~ z>;9XTW5HAjMs{16{#LTuu(oxitm=NP{4B~NZ8T&yQtr*$^F*RnKHH=({B$~IAqDrk z)O{-1Rh;I`A7i9-e*3FK>ZnK+gB+h;Ed6fF;Z>(XL|ZM;;u_*Z%h*v=tCeeOpHF9O zj^8^z%>#Mv0P1rtU#ovaOvrE%ET=D+;TfC+hE`CPZ4orJLTW~pr*ul6{N`(- z9z7Ni?zb8oXF;EHdfc_j{K|KyfRfEq1qUWA$v0NBS4;O+kc}wu#m0NA1EE=*WNP?k z;HW(j<^$&Jy{XMF=x<|E`skF+CPvOLukATF+0*~gM&`Q+bT6fdytSC7PyYumJKew! zl>|&ByDSFvJ3DGf1%J(uF#xXhuArx^idBH90MkF-B0ao%*F*hHeO8~vcW7ig#lO!6 zF$f%qYo;?s`cB!W#C-4mg;@XQ0Cjw53#NkT z3s9nGWn+uKaRkb`_0le!f5_I_i{6?^4 z3gv*Kzej4ziuo|vNT1q?BzUwJ@D4BnOd-G*l?2+}svCQ4ST6*W|0A3!VDi$V5K5PcH* z62Tj+@uw;KY;LEG!*eFYe2cb5(k9^-TO*P*CoA-K=e_3Gs_m!Ye;4o%14p?{)U;Xd zoLV>8)s$ZdJ+64o@Sd~RZz z&`j7K2ZvdX8mysBn93Qg5ZAgX#aA}f7vYe(ZA6)T-C6m#oM=!niqzI6lEuRP?#(Gz9|OHoWxxes!i z=g=oAAC3cb-;`5GULJK%v3}!B3WIG#0rz~!uUzuSSoD|qGnpj5N}rAA9B8m)(Aun2 zOEcKi7aB2l3~BHh%1s^1J9d7lo)5nG_p+@P8>VY{u;ed|{VIXRp`|ZcBuehhBihUU zELKkEHvz}QF1rF7K9Ihr-YSpqYTH;dOg+L+4*sTE8kj*>PM4*ZVKs9P{E&|0uZ+w+ z#!W&r{KVkJM3-sCS|1xXMy_0hE#JouMtfxaj>zC3AVRxjpL+l~*2rzcyaXw`as+u( zJoQ(6bUbK4DV|;3$RY^OPuA0>U+MSM_3VI#JzcA$ceb{wGe;gpM5Y9y1U z?enn(Pe?k27}*{KcRg-WH{_4Q+jXK09Tdk+wUWtIxL>Rv`>R~`3lo+L>Bzf{4#O0x zi}`QBuQe((R5oj&IRo zsrv#2Diq(BOdpvG{d`)CL$IwFUaPh*7aKtOgk4Rq6%C(r;CrJU>U+CRuQ02Fn11#& zO{JB!(2D;3je!75MQAvtn%DNAW*}q4anxqlpM30lmD^Tr&@}!!BfF-%7O916k;*~K z@OlF2$7PX^OD>dbNs5r85kS-1K2hBB#|n7^^oDvLNMn0L2L0}R;&*2WR^eRvv}tH} zry#oe9lWI&M`UhJJG@uyim#d>tzgljeD$RAZoI+<9uNUguwx8p{yax zV5s3s0FH_IeG@p|Y0 zSNn+rwEnsXXMyAF6y_Z{gkB~K#&n&Ze=Yn@^hKT#G!*H*^wUj7{?BiQLI2!;r=tw( zAfY$bf7}5vBN216%&j(HJn7!24N}OU%j<{0~J@ z(fsfww-En$B2NQ#fLg^wy{>W1E0)0wZV+@=^)5CX5DOB!`hms#C`v#g z-_I1}_DGsJAWkYgP&DTLF#(6_LHS4r>J{&2*s07fPBS012QG?}cdti;EMm0jv{ zfiAkAI8jr1Ew=M%mWMZChAJ`bw~e;*dvbINw}`-a%XMU;K;_N{Y)glE6#pbe_vB=` zGKwN0NCKcX>h%C#vOalzHU;dlpn79wpom%*J5kT%t24;fOIV#9ZaC8<9EC2>MooYE zS>aao5pvPtG;l+n{DzDRj6k_Uy>RaraZQu0a=UVH-O$tifzbiOkCPpFDm2nw1P{d6 zA9qddV2pg>z$%B^+Umu)6kxeJTz)&EQ$cghpx#Z=$$bSS5T*co{AG87tJCC>7uQ7r zXl(IaYA{0lh8julWa<~V89hh#_e>q_e^z~^6&rQhFv48hx+h2{AfKJ5QyjU>y@Qd9 zLl80%_%prjUY=|cYZT|GsVb7!5HBZmRK>h{KGxz*!rza^No>IEVGhBzjz%9| zV}zEbp(_+OeARe7)1SO-evbFq>EZ4-G=lpo@hOmMO%`h(5=+ z2hw5s&oG!y6~G!vC^}2bjz&@|2p3;}XB6t2EX7|6oW;SpM_Cq|TX$lQNsS{Nxoqbb ze%|oNw(lYeHVAFlUbqVwgi8#wy3fA~cO9K%5$sNqy(+lFH#xj#|#@%qJ3KWtP z+&gPb@KEwOzXb%=vyC{RWUu{@f1j~b!?@+6()aT!5F$4Y(jyq zZP&wbOzVyv(?vIut3c8p;Zf8V;t*vRp$9LLCE{FlNxUMu9%i~|OE4@*5 z^U2@miS_oZYo=f%bIE=D8J^RtB5p5u`Hu)PnTU_P<(#P#-|n@~PzHw`Fd3?Qz*JdeyoB}abgwcKdNyZcsXJkfnuizs48OZQy<7az^h^-G z%j4PJMB=xwCpn-aJW}X{blnoP78xwnl5^o<2x#0GgkY1?_=6~&|Fw{=LBHl>30rWk zc%pxkVIs{NDLglJt`LZG=f$Gk_{VWpO&M1~OH9T~D>e1=-(=CO6AFZw#LBc&&67)2 z$Ioz(AMZgpMhAxf4#K+FS*Vc1xnG_ox>+5*G=9~p$|!7}6u_hZvB1MZm;(M2O+JUJ zaw5WjtxAZc9jF-ozSps)>Nius_qOt+1JcKrQZ}93flbUgxqlN9?A8kz*?aj{SP3Ye zQAZEq3{W@qn;#bZbu$mGtS3&o{yDN~efUAN>_gu8Ypd!$DIl5DP3SkLWVV?+KNrki z2zqYI$~5XXd+#B~z#6H`Vn~<<27VbjQ_Kp~dNn>**=lu*f<8B~YdIz6XA%?tn>1>8 zx&oy7fmoOskGMG3^9M;(@ctwuHtTAwZTir2AJ!DW>V#S&&0heTt=@}J!-R1E5j6t# zDOAAx0OA(1pTCb8L@t=2?scV^P^BTZ-)aF+a3NVfLCqAhLiKSFe{GGk`8CsZVhFMn z2DeXqnOLMW^`OKibg^{zV_Jr`tYxf260=_*+S0oqNwq)({$|;v65u|8EA1G@FAk9 zk#gfi(MO_~JZjCDmG&5#Bs1#eYRq%MCSU9PR@+U}AQ0eWF|PxqS!5*=Nc_-sDOUgSA887jiE3+t>nP( zTRxub&W(z@#Gy8Tm{)eAM;0<$;ZwAd1nyowvk!}MZTlUOV#K7U2QqiNBpkh!g4Tn^ zAL5o@d|?!N?$FpBei1db%+P?(--Y}-xzkOvw*wNuy;UTYhs@;og99%#M1`3ge$svK zM7~=7p8c-$aoy6^Q;OTa&xiW!m3MspSkQ-d*mk+FV_b^Feh<{Rz8MoO;G9&Q0 zf9gVS_PdOn<5kOH-f(=9wq$Q@PbQ8pS=vBH)9j1l|HIZ`@QdVeeoa6oO9;PIcN4>d#!u@ zZbyZk#A4oH_g|KDLPyU`qY#FLV-bsDj+&auY~dPGoFFq`0I4*p7YZI;cE?ESE{oDz z40Vnv?Xq^@adGstM;)5iZH)QJ?1vKUbv7eL3qy`V31kV?)5iY2UW+T}cN&t9-8gA0 zOI-`9BAYuTRk`^yjkP%OJ6zZsz%UxsnR0Ovki!Dn`wT(rm_-K^VUiskd^DX{x5n_# z2^RaAQvMH!`A}RzKmq}RHUK3T$ZI^r1Fi0%yX6e15e*%iuW^IiJdIdpiVA4xZm-j>g zZAw+MkT8(DlLT=HDIg5UqG$pDGB6H1cB4aawPQcr_-GYk%b{@-rq}~kCLmyMI|+lY z{K>*#H1|+kr<}k{!EAKR${(O{n}1QXVQD-Y{At_SANL#}sX=;ibYUS9_D-Q4WaqeP z@?V0c9oT0TOf;iRVw5B3$W{PY+=C7r#wy19U|}5JOlJj{NKEnfUN7hNftF1m&o|(v z8XX`1WG4Y@2Vq=nfVJUu(EZndZ$j`$7^7?&7qpv2M-!-PYK9}iexiMuS&~H?1x<@x zA%rN7wPj5XXhH%Qk579)cbsAa1a2~l8))=`h0~QB9`^ub9_I9qbdeB%cYOjt+n@=p zs*04EdNBXN{d?ex_WP4%jy%@~jYyy?g-*l;50EGUI}}BA$%?`UT>%G#fFk+e9@l@t zO4CH$Nx&BQ`T75~1;Agl#>+DlK03K$*=gb8?~S>C{5R*3my zx8@&xDomMZI&oLm7eLqyIH-U(2{C5?r6+)hHb%@0sepdy%Oe9PS66@=QPX~t-XbpjM>t|!deD|01%1S9( z3L#fsVxURez|M|{CJGaDrvW6baub(V^4rPg=GM4ecX!R!la80 zd7W9xq;Lw|L2GKn=H})n^CbmGLAveT-A*t+1AIMbXdMHDpx(8MmSK$(oU6aNxq@~p zXn^VVU+s>-WCv3``TJONvVf>)Bp_pTZa8!p^BMp$(YNAa()h6D!;vs%gbF<%H3Fy? zAnbw$Clm_s=g*%HVGWkLJX2qFPOff!REIrRJ0H-!^dJMQe1I=NU0qi!Js-YocYu9W zx~7+(Y(~9y?)8knZ22$*H2)(dyv3FyEdV(+&hd}%yTPrTGDpSc0$nps(t8Et(`G-? z#6{_4G&INoEKTanxfQ7}{6Rw_=s%AOmTuWbW6m20MZm=BYd1r#mG1Lw=qV<8_{Z8b zt)D9ApnPLg1JZvwb#@f34b{x7PQjR%L{XBjW0}koaL5v7tl}7+*g}(4bm7Tf-w5!8 zj%&2eOg=A37v@_d#Ugd>l z-_xg)@Qcy(TwHzcoyVxHtQzq$ETwsijU_{#>ey!4{EXHkoHSjWcJW^d;X>f$g6{z5 z(CUO!e;KMID6+d575PS6v%Va%Tge&FGPB)787?zw#2~f4Pj06f3# z>HPHYrp$Cwu5fa(GTG^+V!ZL9K5BJo5T`hmi?c;AvQlyN5-<5+Li~KG&A$sb-GE6B zRDZz1K)A1Ahqz|0a-z%$ZCQ>Ilv(+Tjt@8U(lc{e^EeT_8hB)G*+j8!A~y77OX2UM zjC`>@tfpK_)#&zDgizzfxU=3c=2XuCI`i~8Orw08p(lA2+wbW0FVNmV!hGq?Uqc3m zw&#&X_2a*KmuhXkZB2FFUc@M+{8DteKaX~nOPIZer1*vXJUoQ$sLCJyUEueL5=b0V zH*c5OWt%L~M9%dxU-vu$x`Ka#3wTk!kB}q6R2!`zpR(;e^J0rm{ou^)muFilv_G|4 zV1?mskD)z-K43SJ@XFuvX5Q}O)ICO!Mj0(VF)AMrSxrPQ(YDfTn;Q)_!dec#Ge?u) z!S~_Uj!V!$YOIcFlw|dHyMw6^h5vY5ucoT%&*i-%|0%j+So70$?QiMK7cGvN4Km=z zK#9?Eb#+y4zb-9Kl+)Nqvo~Ev=@cn1C&%R6>Lr%Ba>b?F916PIvG1dUPEwS09Y(rF z(;{c#`pTJyy`P{Ty*J^Vm1mBl65*6Wqm1ogVTLj9r4@S(>}4g5iHS)pMn&H`ar`70 za*u}iMji_9q1yOwK`1-r&-Cy*z-!!2s5%Gz!lYapnBoa`y2z}b0NTNEPQlzlr~2rg9jiF?KF%80h14k)a6rp}yW=U1 zhbjG5l$5>hA&SgvQ(!U^zLE=mVfM=*&J=Zfhj_7FQ{ANchi#2#uRdSXqq(B>M3P z3BN8)nBNQVj)2imZtSlN2kk8Lln&1*Jh(Yuh?fV{WZ*PC@ z0W%EzAkT-E?;m7rN!Jj)GGk1hD9{kk1i3B@k6 z^fv?gjbr06K*f-XmX;Jl9iVURPcOcfb(?Zi9RUU^m=6UGP5>NT*5BQSb$96T)_TmV zXcRv0?A7V0#3#Q7&?pZ*3epP~en%>LK4bLh+8n^&K~u1Js!auqiTU28$zR^(bQG(i zU9WgD{6CNS`FGIsE_WZ8J9OZE2&HYyOp;)BDmUoD^cO4n_N^T-e;%BDU}M)Bz6MNV>|JfZECw5L zrqqGS9JQ;tZXpCXR=ibnadllU{F*_+^bI^K$0(NafP7IaXW(WHcz#Z6k~V?Gr63AJ zJ=zSS!}`P4>v6B|S|{{kUQ{1yt%=Q-P!@B57J(=!>p{@|29qhk6+J+LxNE_EE8U_^ zJ~rn`~koYJmW{ToZ*lYo4pK{}V z693xRVw9x`;7r?rxaZ#9N#;LM;2i)xNJ%WPSn$4Bygyt#vBQL4Nj#B_dfZ;!LY2~m znd`X#d591N@)cGZXirqvoaUd__K0TMADb5%Ba9!An{1xWs|5cJUI?9xHG=?1nT zt!-JBZ#kgC_-`f*kW4g#Kp*uiygs3ck`@I|5P;Xk=DWuomLJ;-_V%^|ufi0}5;gyI z!R{Q@atLm0j*718JtG27)xr6*4?I1l?V2xiX?CEzf}k!B=IF3q1jWyhl%SMGVJMV8 zJG&5@qL|z#lki7;NM&v_l>qjjDJQqv^KK&i53@*Pk^z7e04P|Ha6QoDrjm4QriKg} zwu@q>z9cr^<2KfF2zeEf17+d-==VNO-@RoCiF{Iw+fys~wDS8(!cdL{K9bqFKX26- zslpO(j6W~R{bBGqZ1(njo^o2Q+MYgZsVpLU{-75GR(_yj9|tKeAt}g-tzJ%^D+@fN zDZ!4eVaxo`|8EIdGw2bb-@`qD+BKL`PcmR(VDg$Zwp`bI|!%efKIm*;{*i% z>tcCm?<0>L6LW3~qxx>#8o8IO5zBR-%n~%92H$!K@3+74KHo^9P`WgMu=UtghzLoz z^HLmA4py*~+4SfqPy(wZyFwF~F=vT!Uu6@M7hTfMJnmt=M%UrPH- zo*A=*V3bXGR&~G_q<*{CkC}=aT{uB$Mun7abGJbED(rFnuM-oF(hfg&=&TTVF=Ziy zfUan7E4$8`PB>P|N`S&I=&IYHOA3J#Y4s8$)RiKb5A-T0d`UumoY8Y?gz?Cm405QT zI|aI85sZS6B*Cxs)%3Ro*~VCU$l;ZX?<==OC3=4J*HjR7I{e+jM4udN0+0s`#k&!SV_)lNf2X;|-Iyz*=Ywm^GrzQhO-%;)lU93{)NTVIpbY*aP_C zZE+qys3)lV<%>)*wj3IcPA8NjqQ8rIUqMlbVDYc&IPA`ch@V*lRU=~T!i?7dK!p0a zSKjp+BZt~YkB+!AJbw#~5w`-+HU-mP2LqFguPs?Us9(Nt=f$u7I1rz|&dE?J|#SolK3{n6GACudt{<+JclO>Nmj*i={!vjE6dEie}cu-2UcQ&m@$>jc57;S9!yYrqeMEqj;q^zB_#+i!1K_P@sx9KSBiDePkm&8{#C?Qz8)!Kd z_&CQTeBuHgnba4yoJo3M9e+x_#(#*iIoN6V(g}n#ZfjxBCEJ$g=Tqx875PCqA4RG^ zy#^6W7nl;Xxq*Ss4>;%#4<-mfM`5qOX$qm3)LtjUpDdQXeEA~Fe+WESFl5ClmOt-K zx3{C|x~)D?o$3g{I=;I;#GRvN9efyJu>@9}Yx#+~B;H?vKZ4fhUxPsj?n@{t{cdqt zc%{q!j6XJ9I@Gx1$2;;)q`l{OXo{XaO{RuqYKb+g;E1 z)>#$Q%gNK<-sQ~!ggnMYrdi9)pFtFfYHIoOK#JUn&0?cQmV16>clGM|!TbYod(S}R zHxjxT)we#=>|V9~T1@CrR`L4*(Izm;(eB*>iTixNEU5Mrw)kluZR&nZCNH zJNphIoe-lJXQ2zAA&Hw0{PZ9DRg9@pMM^`V0*0E7e3pBAs?(!>1+!JE8Xs`U6^-2N zxW6V8$4i3T>Dzbjm{P(?WqC8FQ(-@{a_&o+R_W(a0hK{wVwZJIXL6;zh&Y<#{;Z7W zv=s?q%b5D7h(_HG7Ac^bx-+3?ub4(h+l9_DYzt?YaP~;)C~In_l+mfY*UWSoes`6x zs2$U<9gRDO+fVG%OgIa3xMAZ>T+b!oEdXP=W_T(~eF23~4UHvTA{g zw~H$!Ck|OCD2phf?a7}c^-)}8RU@Ti+wP7i`~E(;R;!9^O6bfTCQlMTyIaLXaK?ly z@<^VEfFmKxf`&suz7jny%2{_FeXx5a*xJOLks9{RjrXsdYP>NZ?5Y$4yhOa*pF!z9 zaCN}=oAqMuwYQn$AK2IL)`+1IbxVA_;O=kDjSz^)qacLwGlUu^x}x6u0g-vfp-PC; z6(gv|BY2T&Qdx{3<E!>{iwZxgT&XwUvoOQHjioZ}xd^Y{!22i1B;Ld8KfVGM zr57N;8e2{YXP)wrKW3+oiNM5vH(#AD;yPexas{dr<*tWItYseogYJIo&wE&f6b~^Z z(c=rJ5$+rga6lCT$*E-CqR1)wCldo!4m#q~9Jbt98~bn5NIp`ZKaL6o7-{pn{duxmgK%b$7+ z1)xwSs>sKQ&Nr9GtQSvy9~_Y54-FcGS+pq(r&1>+?oETmTET*qroxHbF~D|*#+<&t{3(4@%uN=Lx`w){)x0*Q-#U>=|1dhvVlU2QIiYbkDO$=aSx zG{39K1wJWc+7a3<0<&oCKi89|858*vrdDFibO#kIB^o>w39K3i%0CM`H9`*+mdX?m zbi?dgAp<6|ibH0#Pr;!wDisGsIj6aVQndDUp48a}FK7edgeQfGRzDvNN~zG$4|VWJmVTHX89p7cGduU}y{SF1uf5AIC! znG`$Qjs*iqup|&0`EqjdtM&~lA zuf}_mIp?~JVuW0h4SJ+JhrKT$&Ze9GxYaIR%=LyU>{h%gIV|l+-U{PsK>mxQRYlg! z!l5*^VEZ?i^0xjAE&Wy*b7kETG9^5Z*}AyWJ0giNRV0;e@8>=wkPeqc7z7Tq(C^`y zN^-}Z`4nOkSbma+$dn`parY}UR9CRb+ltdECG8Z#G1&;%`YkcUdmWAJ{d8^b;oAOb zRrVR&bT=p$tK?Qug*fE!sCFC_eY`Od)v)b#)dqS_=o4>Bx6B>4krCm0C=;991#cxEhjz_AO^%vGGK zD1-outX@5InJ{!=P9By|(PI;xz&Xr36srGS6ywoXiOf<$+%??F%D1s(<&`f%oQ*qt zY84!P!5VXRrCm@tDzf*mq+__pvQ8N^MMQ(eGS;hwx4 zt<(hcYTtWQJkc>Vd(u36-HF>lx*z$|itK|6-vsDoU^>)Ev}8+QJMaVnHC!eyCMpUW zrgp`jjLgo?MvAA6jg5UOznm0zIFpwnk}A>sIysGcV>xaRn8sQFk_Gm_n{u`J?ZQtg zg=aF62?rU#3$@zSveqThHuu+0{1VO7TFA%RT07o0rn6M1#_CGMn$|OU%b~|{%#&px z8@<`RCa6?yT|@Q} zM)OXF%jg2ZtH zkTF(?86_i!sCU(zK~fwnM#rIt>H#cY$FtS=KR`*u;ZjnDh&8g2Y#=Y3--!K%p2g%r zejWH8l7Ac_$*3Yf(-f4$G761?4X|WTrM6TJ`T44Zrj;H;yeWQl=Uq0ciU>x3Z+vc& z_Vf=Vx%+||yRFNP3GLaT=aY?;^~YIXRD+Xyb z-h8@*>)Pi(GG^aL78P}m52~H#6#|4hu5~#i!g1@W%7@GG6%niFIH=wfc*htdAqO{A z$e1GlvNM4%qQC-x+&hY@I22{j((jJ3c$tD;$n8{IAg9l?HHtRc8ro>Fg0krJRq0E*w`F zr1>^3faX;+fgWZM^wOs9X2uIZ|B&M0AX(J0a#qh2{{4f1!a9kifY7XjG5vFF!n(kBrWmuMcG5Z1v$K(dA-$H&L3(dN~Q-Sb-I z`QU)s222MXGlz(=b8j{(c`r)IKG46%0o5d2|5|n~Wh2?ycP-V`>J-J`tQEE7XG6wp z>L>%5O)^g^fut9NbZ~T_418E>+XyohRv*ZS0Y$6(!y=O+=DY}P@AN3<0{8rCFm|w} zXq6I_fMV!F`EY`g!a~;2&4kJqhQO7PjqUE0=iwt}8TTzu-(umfvWs!Kd9pOJPyO$| z)h807!YezT)2}R#>AEUCq^X)-a9sAhSh)V3@p7xh^GEMR%i%Q*-CInG$jE!>6ruX} zNN`w&%%u$+c6WBtnmVs#iam;&ikdQu2p-qA(xnGL`EPghg1)wn99{%!NpxIrmCf7koTJj*}VIZ{Ygl10@Q^D{^;hH=gN?T_82GIknEg!*yZ%P zaH=$~m#4|KHIp2xm{KbG=$4c$Of1cTv{{xCxnKO z_euJ{fs%X|eiihKB2yyc-B5VX4J8b-XIh?zorEbo0&B(Kj2e-0yKLAgWQ^07ux4=y zf7!UG`1V`#3&V=`pgmo|QbFaXojaokHuvK~KW(|Cjb*AjkPt`3_K)=1HfA4Rg~+duH23=XunJx{|kH+*UtD!Ad=Yo1?uvN|eKNX~O=p!=%zl_uKbGbD}1MFss z+WgA~v6l(yOok%l74f|r4h>BGm?Ve=o*o#;CC4(=&DD2Tefq-Q$)w}+QZojGyV44?P_V6g_yT5ER4&Ibns)&Og>9Coo^m2a>48~Q#j zH8+?OJihQKG{Gk%q)gX{9b`P9-OSiBJm6HEK&?xQ>f@1wTY;1fymcP6r_D;C&`v+Oz zo|0LlLHn~DxSTSv(`c}j0!sYbxk0%ejnWHWemGQ-vSn*s_C`@-=A$Xq{Gt5#!-^xwL-$KCq5n?*&|qnr!BAm26kEGC(ZRo z-YlOq&;t)&U(LSH)|#MH6D6d}(9@Y>p^xcms#H6Tam!O->ev5C3K^h@$xnQQgOxZ& zx`%L@V+kL)iktjyhkjH)5w>|;Un98J$+L<^Ex$lzQeoHwH==}PuaGq6>Lg2xhh`Ij zCpE+j9y%yZr`2BPmjP4OM2{cre;IPC{Yo_A9c3#YHoLb1OL<=OMg~)xZUrvHH>D?{ zV2Q#IObcSQgoA_c;M1Q%$ziWut3MDIC+_qNj6+qmMU_pWv9Bn{9=-c6ch=dImLV%d z!S2-}n9-RWxX~%pOhl>tV@(iKcLbuUjpuhl8GU@c#q1fgVU#|Wc~44JKZ(h!^#P-1 zY%Whim{rBLBgAwJ8fDBIo#mUny%cIEJoHS_{A}tei5yqhrmpf5qE?!gxIqQNbq>LT zy+o)sXvivX`Zf&kj(9w(J@$xk^;g^3+*8UvM0_FMqj66P@R3VN?2aoI}$ zc;@PzbnE9fo_5aNrZ%ctH?9RApE+7HOvqHfA{;r1J#+$)ZF!uZh6l4%GO;s{!y>la z!+6?2MQRL;D?w%52;3R*?bWp_JZkv6m7wE*&DnFkhGV* zFIuyA#nkzTxGr?rm`j1Dqu}AgtAc`OUI$is>~r*=E6?|511(2EZ0FTupX2u|IoKtO>@rPv$l+l0RF-Cd!rte-!N78@?Q%zuo{p|sF$KsxVDtpdM* zVb2>>S<~H&{mkkUCKi_cMuOJn%exQDR|w>mhGPpDSC~hXD_m*&Mc}{dfw3V4WNyLt z-)$_lP#$u@u^yZUQZKVD_X3osWS3q{o;HJ*>rs{v%^6y5%khIJsO%rOiGP9r5n`c= z&1V@^8e#U-6?a{7H(N^H2l4f}cka)V@cAIGfE-|+vwt1@^JJg~_phn#dkCVk631OP zbvPL0ia#W5C5RLxZ4IAI=esS1(0i~P)=Gize|a_CR?5cNaIF%xr9nI0MffUh`lPnD zcCA?NwusFaI9)F}O(=rNo3l6tn2i8SzZwYt)+q2sKId$8 zLa??r^K^DHJhm%^MZJG#djDz`2&MIW8BhLMQT=81c!pP8MKk4lCNi2QTSyXy0eH~5 zqWn>>89kH3sk+t*mNYTY?|~YR4hTQ(8&!B~-P(6DL1q~-9H5jPcY5WW=*uqUuoXe(BG5=;XdI^~(&sL)-6Za`|5 zsKki4c$FCJWNOYn!25uOn?b>Ei?wzI#pBc8%BYA26Ivy_nTPAAK8p%6DBI_PzQBXr9~%1_y4SJvIRMT01tIo^`N zX(s!q?1H)oW!n8DbLmxS<4lw1nA5Z=4x;_VssovnSA!A|Ir5EHaByPx%l|sR6-Xtn zct!-p95dMcY_j9jh!>%U*J0=OfG4^)Vrer+eli&Lh_ec-!uqKFx4C3D;&nR}%K*&^ zW_hz4L4lD^ApGUs@VvSc_C9xUmy0Kn%`NYK&9DXHi64QZ{nXlmAf!*?VWmg&+DA6w zh?l(+^*<>-eP|0znG*;s;laSW=aeFXTZ#Esd}t)H(}g#fyw^|bmh(tzP0j&YJJSi9 z;>!MNN@d`P=M%t?PQeOr;eG`cZWdgqJ(SX455FamXMMVKM5*Tyxyou?8C>y}OJDJT zyK|G}2J=-TMCSnoMjLcbq1-m1ZNFvo@iKw@>v?&_22g z6XG7b6;N5vEJQ?QHgbO{*Y_>I9D=9Z$K+70caDI4sC)3l5yR&tT$|J^CTmrp(e3uD zO2up+*(q6hdcNI~SX5K%U$iCnEuHqNlyXE=iOuR8Bbn$M~NW(SOc*RAxfQgvGc zO)d`dJnLt-dxty z?*l$QKDd0Pz>62_V6>J*K1cL8X9zvmY5Hh=S;DbQq|wDs;YVzF6;t=PPfa| z5MpaW(~30NuZ#%6FRONfc02irhSt`QJ-X5lbp$c5?&|Y6OyP*6{OP?a7?^QDSjX4f z+gtf#6;w!2W*7bAg?L>=&lAo(ULwv0FBcg!b;7DN#GW)(mJ5I0j81i?Qo?691pU%T zm-!thL!hMtMjAif|8@f2K0w6X$J{@z&L$=Lnkf-PY=HpL9vK;F%rWWS&z!ho_UbyB z2`)E4f}kd=djH`=h0DSF|GZsX54=oKvWHk>3*Ka==s|O+X$8tu9w<*HzABqlpxl^c z7`)Ya9WvuVe@`HA{Rskg@u;2Wgn%pr%p_}LG7Jf1*0KFe$NRxxwgAUQX=y>#e&QVD zFi=h=ukMaxn5!_rFnWylWg*W7#NC!wRy@F@&RyCfr5FFjf*%8LL5=N(u*%niK3#x+ z2kuee>UE9pIMstG{5XK&=5=fmDAROTSv$Z%Vcu`dy!-OfZIgO(@2nmu1CCHJ1xZzy zK zmOhh2onX*Pf7}c#gotcf&NOH1e_rzY11r^!0<^8yi-&8$v^FXS?m?cAX}vNe()i#+ z=iR%2L+?Y+nHJBwK<5g#B^_Yt?c*ahcrrCTz5ZX%zh2Q6s({7w;>GjhX5cU5%ev>D z;3mNX?$TQ2AZORwesBn;+Oy2+++k~ zu*eh+p+GrpqdY0*Jez<(*7r=ymszA;x`eRieaTGiM_S;|kveI+4ea1Ye9rm$(P)DW z)T{PgJbOfKIf36hvjKL7)(|k_>jL2mH@JH>#`~kAME`ku(eCBny&o#7-FgJvhPSuP zrz)?3$#1 zRy1>h)4F-sgA`>aR8&$jz56$Yi&XP62gakjdwakjB6h>Qtm(J#&9=;|qLi)MyNkB6 zxsyt~0KerJH;88S59a2rJzARAq(~R}WB1mMLEqLZ!#08QihZs&qXyBFe|rC>W2%et zpY;GOGwl8Q&ditp?iCm#;CFg;2ko1i@1=4XouE+F$iVw6{(#1=S-Gy%Z&pw3b?SMkSP0CHGDV4uZe3e%@i)Fe;HR?f4RN zkz?N(dJ%BumXNjm08OvtMBg=vu1TuWovVFbGDO~cWkF42i55dARgLzS?Y3j0AA1;XIQMa5IVi7(gPE=Cuy~e=}-MVNngc#z|H`;VZ18LF>uN3a$5cFE9GtVsRvekTVmz1?F zr7GGwFBl`{NcKB}X$t>$@O+JS{3N|~_m>!5@xC|cJ_i;(VdlpzJ1l6gtTUuySTRT5 zQ&?fO-FFSZB2y$cuK7!Ace%ctZ8aA595HVvtm$PcqK20ked$ z(P$Od5iBh;VDwd7>is52i=bQ{QIk2o97I?^X=ADWp0yc=*9Q<_O-0Jv4K|*loC|*5N4Fj^EzKi}jCIrv146 z6^FASr)>E&M$dXhtt`g-E#h6CmCU=dDEqCl-i!p7v9eIGh7LiwKj;AytAl>1ZlGO@JlqRzxu%fL>u6u5SQ zELGO@-q`#+d($tx{yS+V(9j>VvtvOOB@NOZ1JQppIzgX1&@s2w+)|*~eFxmMe+jr( zf0g_@`NaEiQ<>!#AKe@+r<$7SEBe^~m^kSKWKxvR2 zt+JVd=ma@bK^s9%gGr8`z+MGdpH>p z!06Hvr)OF0em;|F|FFWQJN?AO1Tb1Lx3rAi*Ms9&T^kj%zd6;L@6|CO zrnQ{S8zzz`oC3chK$%fNQ4w?%eCL#4?Jf-j6Szs@^L0T25MF_duep^~+@fB!Hc0yO z@bLJ9x(`s(&6*$*Dgk68!o1A18c^B?fre;5`XM?HCme2{_=-|d{Y-%#OFn>Nf5f_;7mdOs-rhv42 zMh5*X94-e3?jloFK<$KQwbk zZ^HY?dCxdgJoZ*X76zCIsOjKRrNQj8v9dCWEfqnCJvd(!vn8XB4pOnDQ)i>IC=ueet#`Su`SgfCyXyP+0vK+-qRTlzC(^Q3uja4;z~ zmAz>zA;QYS0vp6--wF%Gm6etIAsrzD6~LsiqP{*9I1GX1Yky_@rAl~?u~x~dJ3ZJE zfEm7(mC0ynCGKCSuDfr*PEJl>y1LR566ioOZ_;Af@Um=TM^Z*creCaDMLPl5;EEY~ zc+dcaK9~*Rp1Q?nR+MpD8f*Am6eGnyX>r6(;bU1ri|(~Dvs`m~=kq5gMewzIBn<&y zc#*f)eE(jRebV@Tk^xL@z>f=~8V_UWONpHbj zNL!Qi*sPK+rp@D;Wz2eMD8SEY)vAU0)2zU&#%Ub_Gb1e1eZE+u>sg<2Ym%pZ=h>T3 zbV4IAaJW3_{ZbOBgnnr=LFX~7I#h=>Ht8vpR_dZKUtj{qi)-)CwaxWO>r)#D_0`>f zlk6p!1{nn?S_`O9`53TzL<(P8>?N2!bM|QZ=q3i#2_c1K^%<|lBLJ$_!RI#xA@SLx% zl)E%3#;Bza$uV~{gF9)|7QP@u-O4|ES3jq1zhu+nbp&<&DJvM{D++8WcXw&4&TmPp z*2d7l#<+@c&mwzD+#DM4=~mAw$02t{gV5bGyoHytP8Yh-SHaH|lAasG$3hwU2>;#V zn9xiMpFL(*#&vsxkVrJ0+@^w*$!34GrL^2jbBBK^r+iM(7KWgvjh3c!`!TODR5ZzR z8NzDMOY<*>ceBw8GrJ_r(p_G{l$&C^RdvhDD7j|50x4Jvu#_>S@7vkx2OQ*QrW z^f%G+(Hw0Hjg$EoTH8Q#!>S#j)vVZ!d?rESJ0^-wI@~cYpIdyv3uzK{hu>RfRHFC9 zJ#ciMVLz*wsK@OUq zk`W!4v4S$zRvDl7^F5iQ)!27C9!uf#srOoGuJRz8$tLk8k63#eL`p&_%I%s0dT z0D3!S?~ChfJ)Y_;Wa&Azy~ z;?ec3J+S2?2(lt;S-v|jIJjIEIRD(%1fwX6QCNA^;!Wo8L!or^0}HlFWPbM%qSKHn z*v;68bI)XN5Y3Nz5s$(-;_|kvGBbIe{?yp?&pD4bRX9GYTrG;>@SX>o)b~SiljA@k`waQa9P>dixd3~_Bk?<5IK?o8r({3Ip!dT zEW5FS1^Yr14L;8Neii?OGO9DFu;LUkb&ld0`uV>=Cay3hq`o)J2>=}?AXd!p4bhAP zTWKhOtPmIPp5amRq+ua2Xup|DoIKg2_0wRT!p%uOVhURF+}u?6lsMM?4gMA)N4^Qj zX?=V5z8}p`PVPe^d}6EUjr_0sAx z#f!7im6oud9|kf@8kznPBsq-k*qmNhSP1_rS|XJg@?#h62->?Q0CpQA%Hk##3X)`u zmbN_e5Nd#0d90zf2R-pdP}43)_F;YUv@Q%~)Xs`i+`ISN|8BF+7zsefg^-Vf`W(vZ`_C2nz|R;(Ml z=Ip61PX}nj5IGd=-*{snGutO3>bnaA3%;Lm|-Yz8rp@*(+B zx;$1?UHUATcs*I^AN%-IcgLETBjrOZ7Amr753D^1OPdT`JCdC=IXLGe{q9u#VnEXJo#&`=Uef&=-;@S!{G<&A`8NaCt#mrM)hpDh83ACA*nEPBD^hYP z-PI)(4}$gM`l6PI1YItJSrvXDieZv}q{;Z@dG$w2nB}?1JK97VO}2zsNHJ_*x5!1? zl0;X|TakaA1ZKIWwx0dd4ga_$`xhM>$Di;vv)|+K34gNjx>!tEIsPEl+$R@k^;{T= z;`4jUyy-Kvu#3qU22MmlW$suAl91m`i`aEfxEi&r{CPFX1#mrxc&$OTbzn@cl8=n& zIbjPcCL0;##_RpJp~vg{9NyS)5%Nm?Ap7obrq=VE&ydBNE)p12QET{w&D|CI!3Nix zFO;LErD|PNiPlE5F7+y`XSq_H!o;9; zu6a1rp_&cxWz)`Vk+*g}K#d|!vageE&%E0|(K|}&Vq^F@>G0~_nT@vPheZZPM}lMw zVG7N}JVCm`4%-&RZa%q+CV>A!YziL=XDqWA%_1UQzn$OD8qL zn64s;qwHOB1#8>yopjxLS(<5!x<2?&3ML>uUIy5TP>vaelSf9NAN9RPKYu_QY9_HY zkgvQ-zs1<7Q2c0Omg1EY=F24Y`{|TxhG@+@uB$EjFMqZoQt@RM*0m*@>}%ff&+i5( z*?u?7ccZbrq&+gIk9HGqDg|kUf5&VW!hhG+$6F^~n%%`@-UV1{hVb}%rbU?Z=80&$ zQ?%K3dIr=>d1}~B9Qo^VM`Y($DP$vVksT?`}GCegNqLTv6tmF#9N1|no5}W`}#!V9?iBxCPh+PHdDyCJ` zph(t--^a{Hcb(K4i=*3Mj}kwmpEzVlRLyFaS82(GXj^D^W*BVfhpm&0C_NbOk|tD);^spjAN*y1r{pT#lvfmM(JZ!%8h0P%Fq zKX2z+&i=?H*E=g;S$iPFNdg}ghGx(nks=wOhWO0Hl_2HNGSE|Gs+|YnM~`Rn=E_yA zd}YVH23De&0og3_ESB*!l>OGJmX(374*BpmUn+jqW3*{P{J+)0;s!}>-t)tHyjc7y zFZr1>>eLzDE84Q0H%}e~PyWefvQL-@iPbK1=uX(fe|5O0!8-E6LX(H#J?F>=4hFda z(2^D=nPaKjN(ULQA6Rt<=~aNan`{Psp-4IH$v@3y;ph9$lE*x+XOb)tZoYQ0)GbEL z*ABH3nA~cjNf^-!#i{Ik5d>Zn2_>0ORHM+0VGUm77duTg;#Se7umba;XNQLZ^RS6Q z316RGLRW~7Bb2?AOnF^>C>$hNG?|B`u7VapV7CKk{N}bSE2!d{FOR|1m82-b^#f*#Cde{7shoJ;+ipCUue9DiX=iO z6TAq}*Jl1Cb!+E-tDUjR64bUKT;cU38V=s?j!fiPnFvK^2;LLoj6L3w~vp5f^b^aB>lLXWVVrZbXW)zc_rRod~<86n{OE74U#HTbe?b; zOhs`!WSY!|mjmt3CLPYxg`oO@LND+naIMne^mAowu~Q@}eH~l~>1^q!wBA(CFHLM; z6C}Xvici;IDRsz{IKJgH#kSY@eB^=1hJ6L z8aDoW%zY$%8o&1vQg28`kVt85GAWbaf91-7x2Xt-)IM z#B^gRBq5Z2T0&!d`r*fTySwfSFXnZUDz*(P3_^|mdND%H-$KZELh>rMS=-MiDsQgU zqw3ixL`N4D8Hbs+f~c~As7;UC0?x~x zT-nUPFQs&-$K8Ag6TK(9|MMsRHKGltqr6C#t>TC+R1o-vN`%qzT>rHXr+f6 ztYsHoQwc%q%XOiPnKQ_7gVMx~zN70z(l6nZgO#KpjeWbMqXmTMbei)L_H-Bdk@7}5qDV{6F3Zd=uGF?WAn^0`J_gI7slNOLH$b)Dkb!&fV7cIN83QN%mdp;F$HQd zo+lgk?Em=VN>s|~>+ApP#s7OVPEOQd`v2Yke>QFWo>!vrZ3j2|pTn87 zv6i;T4wa|TL|IX2QWh8f|K|yV51f#ChDz+BdFIL|D9jXokW}yIXS~N6djrGvoqx1*na}Q>kYCPZkKi6o7F;;VX@_%1Z?37v- z%ee6^=rFu#rEN{TeLQf)R)$PWX8~V1|KBgx_tOH>tJN~&zR81spU|RHT%cRECUag{ z{?BFqpFbK|^2j~-|K|?hUaMOZ3kN*V)M9w8`W9pJbEI3S&m<8}yUVW5WfSehApIWF zZwYhM!t-M~omAJVtFiBkpLGxXSJ{ny1^yRVZvqbG`~H7RQr5~c){i1&8B2DB$`(c? z>kP7!U8opaWzC*#gb-1-3}Y`#wk%^QYa+5|DcQ2~ob&nqzt8jgJ^$nAaAY?3-1l`~ z*Lj`i`+U9qXU^N*=1e*m`9{Pm6F=^5n0s?sWRo#cZg2c$VR{$VqkA&Fkvu}?2}8GB zvBN@OhviAK;MZqcg4TbG3@nDNBhPp`mHm%{`R`GQ^87oFsGr$lV4?>Tv|*#a?v|{S z3cbMbfq+bh`I~U;JQmt-Gv=@NOWCImp@q;*n@~&qydYHd;9{G5O0`fogdHZ3ui1xbuC-zY6Ysl`oScNOP<*uld$`(DKS9|IE?g4zj zL6+lP{NvN|vsx1zpzkp^^v5W=<@Zt7rAo~T#AyHm9L!Rm%o{OgFsT9Q7s$3vHWCIQ zwq+w0QtZSg(BVH*<H0t59AL}ae>yf`O45UYuwY%7exnVyJ9zEAHtEx{fQuwPqS zD))}k;A*YK<+cOyZ>F#8)8F%~uv}wOJO1ipsrI37@a)IZQktVoiZ*`Yp`va+6G()n zR=xQs)bO$m{d>SBttyqg_;#+Ao)V;D(A=MrjIE99V70yU_m_Dp<4o`z^_V69|8!yoV*}?iQy7Y&%1^YU}<(QB;r0e?;_XBrbvwTupJ#IL7luZy0&|TIj5e zK{Lb?p|cyc;i^2-_*t|}+PmeNVaM&y%Ghy_y(K^FxYlf9$P(W?me>jxd5P0*3!AUa zVJ_Os0T+a;ps!}lFQaNqX@giGRyZ&6w*1451pYfW+E=#V0!;s!t6JysYVMoa6BNTD8L|zP4nyA zDcT5IC`JIlCowju?P&AE9#o<3=;`U{hC!`}C93f1K&-u#v4n7vR^$BoQ_B~%?o`OI z=3^he7w>WwfI1*~80^~!4mkO%g-}?6Z;Q=WS~{f%lJph0 z!X{Quwz)4;d!&T+l0dAH}?*(80AYB8Nw4GMH`8-pi(Ml!u`O~v>MPq7WtdC zg9e>Uvruw_Xp2ztJhPvDeLV&gNF`c=48Zn=Qx)hQqMQ{qERZ}ef-sUlcv!zDm84}m zRZxDKnc86R&oFsmeSLj)M%Bwh<7KSy0zTvzQ=>)6kFpGHe$?OLrdOf&<&YdKz3U8y zf+xW0;MsjSQz_BcUr0r#!6MUM;kT+hPgQyDAaFla)(=cAlKR&X>!G>Oh^=z|O#78~ zlP;mKhQB+0s!HYhINis0ixu3Ia9_=b2L|+8*Kv|>Uy6yA&WjA18xhwfXpd+18a+1< z?Jb;Rt6?=X(w$O4bqii(pxQn-Ebt!pi2UiY9y99!odCAb*9t|@#%Hz^dKG$PPt?|V z6y6NBm)wwIMDa(>dkpubOGLZ?i9J8={9R^n*lpI<$^T6-!77OZa; zk-2n=@Hu8?I3}lvQg(KBrZSj;3=IvLN_F$~HH-Qy`!OM(O>ut|ci{~f1Zhl|nF2Sr zn_M0h9jC>gKstsO{!vlP+U9sTAqHZa04i%`@Z>GJmYU0dhb^`ZRA23wLn&0WLnI98 z9Y5^Kb$K{=ph*^B26d#^Lnc-(#u7(kQ&ZUu!aHGVzII+`l^p;48Wm;GjQhKd*Y)*g z25aI>)Z9$eXVVUol9+)nmZ$YI-!@;9K-GcN#kEZfrl|FOI{xv=J+fPG%UbTVu-uDF z-d0S{X>93JYBKzBw^+*d!mU@&>k|~YPQSeMcB&=W=8y3Sj6$z9zP}Kn!EaKf!_ZYBy#97^C=|n>C$OAe$x&j3;vYeq)#0;+s-|pY4+!N-U z-jvB(t5r5h#jlHP6$^*WA7qy{P2LX$-FrL%?!QlA1)H@y)B-h-rC@`!AD_1w15O8d zrnc6&7uCcNzlbE_X0V#0lHBr!4!y#)jA)#}tjdXA6g$i$YH&jo%w+w*YEBD2X`5Px+5uD(`3@;$ZxizAG z-@Vw9`)S=Up`x}{dztP82xN)En^}Pk#i8@>_?N6!Yqc=BK4#J@S1K}$L{RyOyGxLv zG*q@t`?9x|xf0BOlclWZVQ#cvHJ^+6ujjWIBgj&pLvXvK3hbvaca`CgXWfKb`GAjI-7cjMTTO!n7M_`&hWI<2QohEMfXoicmEl6$< zIaog6UHCvl_G#Igb%Q<|o*td%pHKvk8Rz*WSDQRDh3a;w`5gL8Rnxc`P~F*1>(989$^O}-k@^UT!M+M~4D zbZe$>Tqt*Uddl&#=`D6gc4`?$e?oIK^Txa~*n3f_tPEuXK4!Vd$%BHHb?P!0&VX@% zzBDV+bDjS4f}6F<@R6{HfatNb0bwX`C;Pr!(YJLW1DH&azC{D@KkzC5c;PZulLlX- zsa0o&?}W|{Snbt9#3obpr&S(aPUnG0MQ>JR(8sKg$4!2}!WatY<}{MOlQit{Fe;@- zXHFG?9=*g@|dM_;pCh%hvvfS14@XD7yly@HrM*Aw%WUwl*|u(hz5oNPmE^B(T| zd6$8i_|W(6|A4j2HXQsh%hgEiqM`x@D;;~;!cgBTTB+=lSx zvG!j2O}Ye@Qt|hi&p%Gxz>+YH0MCT*f|Z?Jxmprw3Gz8Bu$Afb*`Yzs@dky5Q?*RH z4-5`k0!$+-<~cI5{J5|k)o{c-R{UaL5iBjcyFSieOO8FQd;-tu37Sc0TRc>Ie}Arv zOez zGGzyZ!&}{2n-?D!Pd&8o^Wy{^OA1DrL)JC;?U#gBc3Qe7XBoz_{eZ`BbVTjamHgR3 z#tKtE;7Z{u#1jJH{Wk!uZbRKkUa0t$#MA2P?tW^Ek&>0QZVsipf93l~(Z}=J{ETN& ztkG{CeJY$-x#8(4>3&hIxP5fdt+l=V6exR+kwJqlpx=%omRQQB*r{pZ-idq07v)E^ zt=Klczc`Rjs9dU<*RhcHO>> zu6OnHD8KEugdU@>?;koD?w$9$-A8`5&7BZl3w&0UFnY^Jj}VZ~hMptR3x+&-OMvot z2_`q{$24x|gmOZ>1}QJd-8=&=~V(j=#LB z!g^fdv{~=#Bo{R)_SUH>9(Q-JO&Yka=Xz3R?h&KcMlku092bUXWRU|rv}cbaiHMi! zN|8Ep6yG#nzpXks;G?yc!GwFubKsi7m#DTC`mi$s&Lf0(AUgtm6?xEe+qh3a(h`4DhyV z!RutdOhUa_?b6JZhV|X9OL~TqyUBe4(TRLrTo#bpnn@i-D}wOc6v%ymAoS@!+9$w#mV!iCQ&|GmlE1Yz9ToaQ zA;5&4-rre=u?=FNh+cIbU;I5i_9Cinwy(3TTkxa(x4G+QmUz0^Hz|1@Dr?PWI?73t$YBJ z3oZJ4eLEuuX}Pm%TJznN^YVjJpwNRI5_IFi{ofgZLi;3?+b3xA+eWUTP$<&-AzlQZ zpi-a>(bCgvp|fy#=ibZK=fU6Aw8F}GG%nuk=K+}c3akyD- zv?_`A1VaYbmqhj6%A_85NygF%OD< z8cp`Zq^^qLp{{pE7*Nsj9!e#&Ph+_l@weL%G9*IL-1h~R7vk0qDt^Z=fyhm;@aG`& zLQ}o}-u>MNe+eI%)GR?te&1}N%gRN|m1i;Mk3uYTuoq<;-N-xc)^H#LdTU`z|5;)4 z>%V3z3P`Lw2M5ij-R5(LPhfeWa)LOf#I5RHsf?tJq%0VD^!4c)PF29%4bVqAnB6gL zeNxfT7)C#b>aw-2IPvYz-oz0X^foXIMazz#YA)e!UOc_YxYF@SoEB`05QVM7fkx*3 z%z&jH>Cma;lAZ9A%;PR_F0ylz{QLWRBs7N?S<_J-C*k-01ojy8qjegpQ^QT``6EWMV-iXKt`O{#-1YxRW zNTt8ndf9g@17c6H5Y^-6zjb%YcESUrHzYXFGdz`KWES_}6S9m2qRhxn+}nsq4nwen20%B8rg|!D$$rFK z`Te9}FcTXCJ0zImRMVMiY zjp?6beV9L9S8$h^8jjq~nTq;Ebxf;op&Fhy%%wYtdo9V@J~otmL@u&to_vtv=6w?9 zWp-h&?90|s@MvKNgqppNgzy*8S+zDZ}rD1H1dBRC-tWZL*TJNou9tpBFU4oS_|L_^#JKZ(RB@ z$iAmy9!0qaC^>H(pSv>7U!O=<6+=fV+tBV$pQ#iMU}9Xr#UY3G>mTsk_BfDrQy-4L zOIEQc9crc0qV@zZqD3u~z3U{(UcN2aU|5(_G&R3R4C(zG`2eBbZ@lnMXXm zo9SeKKzm_QZJ{Q^df>c&+3L;x{gF>yQQwOjT}P(h-hSJAsb3~&W#!gh<>-FZ**%@A z(e$LRV3Y~uGX+>VL|3z_zCYps7*f*rj2GGw3i$1DI}8G7c1RMVr0B{@?Y}d)_nCN} zC!CPCnFRV}Q;Ot0Ld@X815!(1476-WElr3ZB6)h#mI?zl3(Y5CXD4;_Ud1hogWcuIxCggoEJwbKwM-}6RuWuYgWSp1cdv81 zyStsAV0|Nj(0I+pp@k57gg&N9JMjjDwS-Xoy+11C)HhkLzTnwiB+y}lq1R@fZSizhcQG2wuw)e8&vq-A6n_~vGDVI-Je zhW}rTCimOILYmW8_e*BJJ>PYzna#TWQtl}UY^M7M)ZBDjK0moTb{t(@`L();rAm9t zlC|4Ykqpp0e(ra}Q9#EIk8Ov@h#%0%YoR|So~H#6rtSdDv-1~KyJPR*z`f?=Q3O3t ztPRln!mIQEpsm$=zdsn1DR9N+#BM@U)eo4To|lN$%rNYaWFBCK=KG}HkwzAT0b!(W zA%cA8mEYADh*kYkA`a(hu;XJ^<0fu(A<(gF=W%-P`tR=_SYWLUpV?l*H*mpCa#u4$b~vma4xDfhYT{aR z!szac9DPy4Tm`q{hT_V3|J{YRm%zhgHOuS!Ll)8@4rUDhdUUT8I-CLymdvMt1_tfz zVfY$#_a2=;hP+s_($jV7T|DihRJ}fe8L|)ygkQ|~*whp_6X5&f=}F!oRSj6kl%$xb zC+DvoVMi3jde>hlJm#XibbmG21u!daJ+>l}Rqsk&lAAGbw?afqCb!pxwtA?*+ zs5a&?;j_;jDjI)beJL)wk;zcX@FZzL`ZAmHN|KhQopUIC=vt5274JXi>%VOqeCGJs zwM?fAspiD4Yz3cIk57-s^WZHIq-*6? zQ=cU0M}=evk7Y!!G#u6QUg9kwK@!JCy~Xz5EvU9Y7BVRAIwWP8qERswkBwoRb)OL^4I{80Pj5~IQrIKO@mQG$V$H^UN-TU@NclHq$GKXRuJH1KY~L;6x81(~Xkhza`n<#Y7X{U0BXjZELpREh>9 zz8wC67P>V9Ad-^ERg2>__LfuLqa<_)(!$*E#;aw7?D$5rJx9dD{I$aDGksgd@fv5ce7w`o>BO@ate!t=s z>Hd!oPX6cv&4uvMIB7lAZCVDm7et@+ZdpE1`DOUSS^7Lao+15O8S*F_um)+uXkFTw z&%6PRC5F2314Lpege$^=Yt&oIp1q1;(8DN2oTe5&A6NUqV{?rtM)cXSkqEz+fu)R9 z+O;3@y~GvESURK73K!@6(kxuG44*|oQu7=pqzR2wUsmy4sUQKw)v+mCnq ziyq04-sUk45)l%Dby*@9@-V=+W+FAH+En=GNS1iuAwTI2EJ>St_zqV_D%z2v+4M0 zh4VYK@yc$$?}4R(!q%840o8r$_U&nizZ$=LO^Ad?J~*%Tdox*hjeLP;w-8?+@!|J- zR1?oX3P|96;zZ!#r>{x3N&Vjnyk@;y={ztUG)BNUe)$91AD{bCmd-OTz~t$D@NlOQc7I%IunjT1e!FzOd-b!2ni=T3r1IHcbe?I*`|Tr zrQ1q7s+H}+-Zg*(h*!kFI)0LV=9g^k>L(6+7ng2!w0bAQzGHqyveZ^8@mrN!CS=d1 z@awRw@Zj$^9E>&`a6nsZ8KtB^hu}Pc#Jhzo)bsi1Rx8!Ld7-=YN)z{<&tM0ayM^0y zm$2r3t7@8YMlXGRfrec+Zm{b8Qtota%laV%B%iEf72kBO^D|K8#A{|hHD*%d1}mYb z_c^H9Q>6~B43`dWo!MnsJ6EMxdbwF!VaaRk(yj8$kg-ddur4=F#O=v*sYgxcRoKaw4MDlh_Dx~&Ox@h!{*%vGv&Bi z(T^1 z880C_rY5BlV09q5B3vEz z8^T}Sa7e{3lP>{rilCO~MXte;i+i!@ia z?pwt>wMCRdUO`~TIlg*kjl!d_mT6Cv*S({{8sYf&rg#*enJX?j%iNJ*SOLO_47ea7#wd2`^~eQ%+G1v5C@VYo5*Ua)|{=kbbAV zcoPt+)JI<3i@2%<-sPSmo+XWxkt2byP@8Qw82|CAHFL9jkQGhH3N7F^ZsJcKgp_1q zToV$UeJtaU;a1|T7LvI)i0Cealn;Ak48IUd^Cq6S4x3J^T!s8>F|<5F z6VNEz%C$da6m)z-n(S@-C&?IWcojaX z^2!Emr%L;5_SR=&n2`DcELY9JbR(r9;dkVlP-a@nQrGW`^+`FtbF(p(iD`mjUT)8l z3EY+dHG+cN*P_a<11{>|A9?O)%spKU-2&26{!HyF?;cKg_b&>pdB*$M5;oXNPmiBcNm!>t_xFY7vXF48JGI%i~qIoln z6!x4SJSZ0+&aZ2tdB;CFR@k+QRUHXyn(}t03u;Jw1#{6tyYT6YmL2ITP_VfY89V^F zP~PE)Yyl{w+1uwiW&ps;=q##dJ-!6$fkQ}{KE7bhkz0LVl|qMQC@s@kmo11ggy^%%EIu z8pWfYsZFuG#pbeW7SetD_2|xo`cyIl%BME4Pi|q=Teac#RB}B1pw&%n?N`~^%9Xhu zf@rPO29f@xm6z>TfDM3OR((X zASWjbsK9ag)ypc~uZHw!BC^*gbzw1SIQLkbMb*0UY`(shY+<9c7eb@Hr$qp&isyfx zEfM&&E+0Hkd%ws=BA-n^p0JqWa})O9k}U%=<$sBK+#gYe3Pv+h(>}UAMNr!2c`ZMb=!r@|P!%Ft9y( zm0b{cJ7XYsN$R2uC(8KHcZq{8MSf6Vbf$6H?OB3wC#y_zJ}` zCr-@x{Eo zYi$Rq9TE^fE-pH<37hXh!vK`IUOentwA%4g0>;}};;hH)UBO=-HRAo^K|);)pX;5^ zk;cH{VnhV>2qM5Z02sL=>u$lV;5!DMNwOHJ_^37Sm> z@7^(&qH%nEwb9YhJcy2zSWrB?$7o$H*GKkSf2IbO1RB$#rI-_{6_YDp4@0!V^ z4Gv_vR+-2gagR`!*VWyX3P>oC`$uRnawk3v((RCS&Ms9*=&)bZxn+(z!r{-s`Gv0J z?8DUHRtK|u{LbPCeBh^aWhuA%`tIpfrkm@RIb&)kgjLt68J%lP>1EPH@VJ7!JnVBfu+KMTHcO3eBG#~_+7O%%ECL{CVF}*<)@UL6vQqPpUn*#Tj*(bk80fK zFJ_2T4fE(Vl!&_)@~OIYGft*SLbl3Prd#T`%)t4pDjG!amoxwSN&cP@rxB#ClD4ii zd2&!&-u1l8m`;3U(qqfE)Qy(c97nS90Ys zqAg-s-7?*dw>Dr@76#_j%gV|+-FhZBls(3iVcmiU_{hyKpDM82#AoLJ{PR!hy*`0b z4eiBYa6OVf!81%xW6O4_Z{oSx@W@Dtmvm~YRa}LNZbQ!SLocsLblsj$$dZhyA8rg*_l@b2rJwjBR` z=C&k4Nkp!+Muu%}KMZ`gMV z=14pS><8yCIIRFS%gv1q`$Z={+*!K8;o-Mz8i{{7)G@?lv0=ZZJaiHKnVG?17+dg& zSOjjkFO*3wyvOol`bCJYdY2zv@$vO-kmyE{W^|$$#6yv?teYsOmqo?Jh&p-GXSiXZ zW{~)NNo&p>V25%rp%sy{${rtJV81@!n;d;ScMa}C6k<=j09%2EGAqGbRj?wfyaAbH z-rAQ%n(}*%O3|dh$;@xd#&)DBe3xmNem{mYhKa^ljLj$Q6mK9&0BY-(!Ych`#nk>9j8QvbfInjLoA-)dE$ zk%_s|%9ud;{^@D@xCfz42HK|A7J=QS_e)MlNQlJSi73AKJ=5Hq?%e*j`n%F>%%>=} zW#_0h=iDdijt=(>CMW>~U48I(l&&<zlf2mYcr2P@4Q(o51}h@{YgyW=ZHlGpRs5-gvd_ydD#r2jfssctyDN zc>s~GihIm^+T}e=q^0IHrng$CWkcQJ(WIQ%_k=8(OC>Wl2IDD5C&&9H%W*n+} z1ZFW{tmTzwcJ%XgY-i<2zn-}+cju;;R;Aa9*%w18AGB@*RBCXw39}@N4w>=igdBgj z+qX}vpVTU=sB-Yc^~_Q4)@RJ@zHza7cm3Nr>f_mCUa~IVa#G7nM}O_JzDnlfyj`;b z7_#&NH&>YWNkGIxmze_?D@(|fW$Gj`KfM3ETHMr{ z7H|l>xeJbNkCsQP#N5tkU5;7&qND6tV@k@^>*LKE0u+6Gd?F+ceHFMAc7MKxQwpb$ zkdu6;f&A$ct8=^qPJQqT@R(avPAlg496(mVCNeUb0A{IcJ$Hb1C1_&mTwV`GEEjzZ zL;lvS_}`X^L1bfP-NuW24oDRwjvLVg_!}hquE8ae-PoZVUtKR@Bg3%RG({GR25_=j z75GJs)S7h0#~jO{a$a}{8V!B_g=;Rf;+@|JwvmgMg{syk8PkK{~L3Z6l}L{cA}E z&*u0Bd3{Db^3m!CVD*IE+=SYQP2{<#MQI2s+PHj?ZVLME$e#Qpy4vPtG2IbKzZf;_vf=HBp467XkNG~6~M3jE@M4I_;8XTH} zx;fC6h?^vph)N#6I9>bKcJEoG`D8%z(q<=mL=UsS8vA&r>J3=uA4lBeI-d?1WJTvgL#8y*a?T}{nb+dpAYTF;?Zy~Z+#kKm*D_b@H2pV zcA|Mr>I8NkzWJ+u#w(%Iu-Mz^0wg+1R%5U;(u|gpazS$;~9|#UT^7)nBkhn*@wp zD=8Jp=*&CXIb*E|NlH?3*_$-yFy2;p3ZUY4er(8gQisi-CG#V85Q)r<8l6A$5sC&R zi^*o>hwt>sM7{$2U)3w)+tVD+Hrqabep^dj8Tl))+cqe3m6667?mLtHOeeGXm#NqG zgd0+Ytq~fM=7+CY^VX>Us|9$v@E$=s=5tyC!#=_pt&O9+DQR)cn-ZV3yKWuPz?MD{ z-5x(1kxBRRa!{6M=e?#b^9lw!n)%)em%e8_eT*fNOXtUBvwBeJ5B9^8}s(2@Yj?=e#bxIqRqN zE5B7AS>nzm9J6q66#TODYpkVb^8Sy+1Ez_#;ah`Kv&rTN#UluK0U{@>`;TBV^0)JC z?n1k4O0kB03DLXnd8wtA$Lly&#UApu8ONJu*UVZd84lVroB1s8eUVos2_)d1*&<&8 zG1-4*#GhmyEZ@tPFs=2z6tF*ij!6E3*My#08ZULt^I-Sk{>Iy@%;|!l$cZGu`UuRw zeK2^VT6!|M-*Pck0gM#V*@x`Ug3#=x#!dsj6W_%5#%DBSb za#<~|3g~uVEJ@0I(cl&b*K`{WcX}UB4L2N0HzbvH+ckUDum3};yAqOiaxN$KpVb`# z#EL8_O4t-FmWJCs=@x}orYLanG%W*>qxjWAkl3FQ!?R^{wc`B)~~) zYTxpN0zGMh0%elT!$Ko-R8YQH-39|GDSgPc=~K5|^>|N~v{Dn+_y?V|icCerSj_#- zy47tWj>f#}%t-4V2_RfwnJSysy_TA64L%H(un-eo)t>DZ{^d!k0M|mq;$p>vIPhfB#x%6|Jti?=RoG+6%~C~U3qoL61_d=3tjAS*&+OGi&X1xf`$QL|dKes^IG9Rva~Z!-hflf}$M z-_Iq*l)-;KK}94QqQUjYCiQ;u0UNK+b;NiH>4)L;{^dTA@}?T=#USjuy4`r%hK7QA zur~AYp?tt%W>?9tiKox&*1 z2wJ9SLvBPQH!E$#cswIPBc%5JT5d}LXTB7+^1i901kuGoZ;bb6YELbBN=GxDnKcD~B znSkm{c$ur(l$x0l3s(WSp0J^yHK6T4`|zvu1qUTcOGuF8Z10$x#~xdGlDA)7Wu|#i zKo>Wzy7K!lU`jJcYV!PslbHus!?hfU$`3LiDd58|u!H^;{)i+^%s0vC<6|FWsB5B% zV{ihCOSqq5V%wL&D>rOt>kROlzx>Go2>n6F)>`a36J8Jj4p!EnC}mR1f<5>uyJ7-m z1r?IzCnqZ_bWW(6n50cf`=G~ye@1~rT`}1!Y$Y22K|vT~Z*Tvjs#E&?Ph0vwkLLr6 zk&%T#{V!gI2U)gQ2m8xJ?LI!Fl1DuM?eUU&$l7O6eO7{_KIY>BV?<`_+(Vwecl(ux zpGQ1dl^)+&EsIGa>b!MV2&`5(7C~qtr%_UR%%+my*%5kDwr7DAfh3G@u+QN{Vqd*~ zm{L5Lrxoc;_DV@V7VI^kk13d=d7q-Yot#6&@cV;*K&b=?s;4QTY-%{JI$W&56@y3} z0SbWk>Gb5++-_=o_L5T zfzVlsT|^#cBXB%~ft6KLgISG|sq?uhNcHic=f{upQ>$`NuwbfE66FUg1u}r80sS`O zX}VRZed;Fw+4e0+K^=`blcw&nzTo7?6ODU&dvOrv_4Q@9_Z6zFmlm5h(1%)n{`Rfl zYe1CaxL*-u30ggd3RMQtDcY0=2c%vVQY2i@F<7g$o7eF8mR@g~?CsTnh*qs!-JdHf zVbE&i>-%9!`pw*dqZGbx^e!}BL0%k?aZu?quvvijb8Stn_&vukch&<3gUTsIBnBx+<=y-4+fb2;K%=}a=Ph4g_? zr9mC?`f+tor(aG=?LUp6;e2$3^VUT_X66>RwKf0Cg?A$D2*c%W37QFYJXzJg$Ju{U zmiKvHe;pQodf~KyN=8&XnDSB-}!6uozJZom|Z67yzfAC5qSbKUCHL^@oRnOpD-+4B|pkS zNzI`)sE-Tg{)LTN)AZ_3gw3qYtcN@l%)Yy*h@5)W+9&KS-%!oV;o#54Fl^56BX(Nb z@;)+KAufgP_3!2efq+M47kxq=O-(V=-tPHzrgYkH_FYx-MCr+=2>;Pm6pL@%Q1bYB zIA~LP+=Dwz`JsIrI)?>lpYCTe`f14I_*OpOlP*E5Wzm!GCdOEWt5(wE9d?u-nHXx(k3l__DIY+iyJ4))#tz&EM$RY*jhfMVghR@MgkqW%l0?qFT%v z@^`=G(TlLalFysa;r4Rw#D<8>8G;#uv-xcXNk4DzuO((qE-r)2WgJ{wL+?}?I#R2c z-lY7T)lU86|L5%u%T$LDV_t@{F2D8+Ql+l7jJ$Gre)^QV9T3-SsgCutgdTm1pnP2#h>9U*jIP9B2z^L&0H zTx%;<$_|^pKTnF<@XBb@&vRZPBJWj453uo>JLL4>-wPL&Vb*xF!eINaM@Cs73?!Iw z;bJE)ch4us{YYgf)ITQn`dFC9uC2Gh>lU&2U5Tz;i7&qUUFWT+W`8tR34ZzTpgAaS zsakEzO_HZ`U@13+LtxL2k(|Fq-x)|B-B>UQ&bO}3}l{ZqqyM}=Sv}m(3 zW_AsQpA%7kSvA1k`OMs$rMoa}^Whg#Qp=6H$NXYA+wWSx5pk6F&=t+>t-f58Y~9=- zQAp~Isq+OhS4aLwH}~F{@FyGt9~RA(nFRXeJd~e>Ms>O~Pm=i9Sr5QVl_Z*V-bRKz zRTQ<<=$B&1Z!!$IAFx^N!^Fs`Y^cC2_AKev+j+EhfT3uzio#viNRH2%=!FO0#8CoV z8fYIQTHzh=YV#Q}Yo)j$h&B#jmROiV#%X+Y+ETh;|~)FZT9dE_n}LH-Zt~d}k2`rwt)whtCGU z?SoX3L_)ibMCio?zlnchn-?AgW((ZJebFR*7JC=2mDRy+d0r>qMPo@g=@xSfIT_*& zvlS$xp$7(axAVO^+Pouiwc~0VR8-JY=%(8r6VbHI=Tk)KodjRM8;(zDLccUKS?uZ+ z!qMkCu!|-OyM285#=&fqxd8hQ{f%)Otx`Z+O&^;(hJI(LG$}l|2A>_SZE4&79qiG% zOhQ8zb+YCL0RjB7e4`^LfAYtQGu8`8u4~v%A`LpLPuB5t?T{=(q^jB&n=>Z06=- zX>6$~{FFe;q?RUYf1)%pYu2-d6M%*MuHI1D&x#Q>Q64!_}p=4R2xG_ny{S+45cCQ)At(2N{9VOqn$XI{M~hUAkCi181 zm&=V1)SHT)g!(aTF?hX#k}N@iDTp(Ns{sMem44vmc9!*Zja@UQZg`*10r1(lH}e#h zrTap%k#82{4d0}(=;d12mSJqlFymq|t%F8_kiWHbe{>0nh|F`bDI3YAGalnbhbLvv zs~VPKfb?71%~D7k5`MYZ<TL>XA8f6Iw2DL z>pA0Qt7}*j8I{_d661I957uoYG4RboHUaujkq8=rh`??;#?tD;!WTskF4KMZF1i-U zAxv}gJ@rL$2pbFw4Ww>)6!G3gTXmOD-5vupyt7Q&GRBUTGTd}kifIcMGw2xd-L)-# zjDgHk_`XA`sN?AK-PTgF^UXHf^Mx-i>*vv-IzYUkfMWdt9kF>xQb|aT4o=AL-Z3%u zBeu}%3M^vb^t1a@y8^|rNAC%8-NfjN%7&LJEM?MW52g;?U7kCI<$kU;jo0`pqm_%z zEwYL^(uEgwC^x{bAug}=1Kc+OaiDs6W2uY5(ae%SVEU$$hea(U>u`9un8{___xHPm zc`1X;rBB+;&A~_JO0O*}>6QfVrdm_A%~+MeiCBL0A@3jRwj--@x?6bn0C!}u3zOck z#=JnT9o56U@@|&0`e5635kmw)D_13HU+9s7K035%S16aB86?@3b7nFEapt-ZK`;%U zq}prJUHptj{(bkQHuuj`n&V5{(HnDVdFPg4DgUml$*4fGdqSK?qP0A+|^JY|7K!Ws&>|mE1{(TB*OC;GX^`9U0>2`Pu!dav<4NrOpH)r=_v*dp2 zZGl%q#|Gp@Uir>jd(3)~87W$9bsv;<@j2XMIaW4$82j7Axb~+eavTpHceayRjDKvb z^EAUs&MQ69_db<%pSC|vZYrEsH-%FJk*X_0gD5{SS?nx68tm{U#V+-K|M)iC-ldBF zACFDkDl|D6b;wMArNqiz(nFpyidS;+WyZBpzK^cQiVV*iOhll!-ko~4%OydFYIyHk zg(mBi-Rk{LrZ4ie@KVs5kwW{3t>YbcN@#;PZwA>u#nN!T(7ZuLrdYAAde!!=a|s2G zJVvPO=8OOTk-&lZM|VNh(ES>YCEf>RY z%@oXYn+#KZGQh6yT0bN+`0jc1f)iOIw>-l7F-Ir!#kGfz5M)2{Oa%@zUQ^#6dhx6A z|D5&z@BC{^{&KRj%O3vk6CVVB!CwS~KRFqvyaxqz2gTnlgC0frr3H!Xk4o#N+rF%S z)lTS%_b&oI)7+5yzJAZa@gMnUi=oYKXXNo2h9_#dm-X(TSvl+?JK?g zdBaYsPLALtk4CH47NzK&-m!PzOTPWnp39)o$ClF+%m00tPyank zy-T5%*ZQT1>?J5KG9#PvknJx^VzRC1;u!$P?zKSIv7Qkee@%emG*c&_g!FU3{sh z=B>1{DdJVMh3D=w#=7`(X`_djk`v{MQnXw44O4@aKUtrz2?xkrx%@08i+i?IREe_M zbLy%w^1h+eM@P?(Rt7655d<|N@*f1viNfdh@k{pT9S(llinrRp{=UZCl52d?xH6U?Mlq zofEMws@0J+iFbITiA>Vs=8C3y`-^v*b(A1m!TS=p}*YlVRW1zYsjU zBti{A5SUZ*U)U`ggS6ra{4fo~M~M>JybK^%l)w!-&K?L+!izFmsRXjjA-TvE`*sGd zKxeY$B~|)1SVH$d8VHfJ-S7_ngo1z_&{;j>#MwfwK2C#I|3C-(EL0hg|Dt^60P7-g)6pRUEv=>JsTSg-ikYrO?z0O^ga$x9{v{JEV%KxGMM1 zh(`nh@!>M6Lku$YRikwHVH2k7sl-`t+yLp3*tX+Uqj#Aa)=D|)Pflzhn*SfV&I6q4 z`2G9Z17#i~4O<*eW)dnZJ7kX|vS&nbj21F0^W-S9%04KwV`OuT?Ci{p5T}z!_1xcn z&;Po9&+}Z5tE-E%&*%G@_x-+KZz62H-kNI6L{RcS0oyWrk*!rY%wB?{c0F;&=qTz7 zK4IQR4x}ycFUlZ{O9a}hLodfQ5<%d@sUQ+jsZ?5q);u6YTx(eP_R!vGE>6at#quOU?NG>HNPg}3Epx!87aR2Gv3!CK3oZus~ zo7qF@^R_|Pb+jnn=9$k4CORi33%szBy{-i3y^N=iJ&x6V<5e=l^Gd?ONB3^SymxwD zUPp1;YiFcd9Nzvm`i&fU^-gAKY2P^!Jeiy881q{Y=g>u!!@MQA`a zdAQ4C4{Z#;&1}yLpA<>yvUPAc2!(XuTFVT+4Z(GFui73lJ~Nzs_GTk9LC&|r6atS{yk$Fs;#ST3dO>pyTlSJ;Hg!}NZ>m52v|MAAl*WY zgjesdNijko=Z2YaEH#R`uD*`AbC;$*miKBgtU@Ewupl+SA2c*pR$w$g{ct&in<*lv zl;+j#a%Kn>K`i`$PCdl2GJ12|W-wn(92^~^S_m&+ChF{l{yvH~rQ5&vfKDW&VL;S} z1ugIuG)g4AxfI>A_Z8MUwrU6DWis+-<4QNTn-gugna>qXQmA;#SHcX3*;8|h(NR#T z1!F}A`F>{@&dKZBn4zF1AVS5qsK~H-u=|$dhM914KgV(iL@B`^*#-vQf6!UC(E-6g z=s8zJ-!I2kyTSsnh^zU=zBo5+soj ziLDb>_wfR4EzB#(wPZREz!B&KdDUTB-;u^PHbyl8Cx~ zhZv00r0t@s?&G9Ktk4yK(^E7L8+BPO z=_SE|3I0JpbR$&g1zEXCGS|FL{xPpCr=TFJJ8AJTL5;ut(s8Im0jgM)wdCz!4#xAAG^$ZXbWv)VP{ltN z94e=8WMnV&svd_3-tF%0MiuyL0MaB2O~7lRAlU%2!$yM1Q!E_x&U9%lv$1ue4~eiGT0tI=?4Tv>W?Z8TpeBT_@j$*GeK5 z77o5-nKyNz?MP^;hL}7=(I+6F)6qeA@q%y2HP% z+i1;ztmS#a(AF!z_WM!gbn7g%$2q>$wR+?I}-< za$pwFkHZfT)%h|k(6`aNdsBQGL|)ulbbsFiN)eVtn%G>(;WyF4pL7!Qg+)25~ zv7v<#VTxD`)~&p8c!|$Ywo1IAFW*(RE<^(xxAB*@hb_!BqeA+K+4%D}c>YoP>=1O# z7S*?+HyBf7y=m+HDWxJcMn1lQ&oft=I0=FQa!m2}az0gJ>Foi>JOl9 zB*XWu_RZ0+0~HajkfAGo82Lq%Glp~M-`}nw+2^0RBs8zO884TMaFMCyRyAm`9|mGU zFV}Vc*skdDnx~E_nyr6&woadC3rG2af`OhRZ~UoG{9i1H9uk?dh%vue#TZn$bwONC zY^33Tv;YzdUezr^T11XsSIb=pAkN!z`0I8Q`cq4z6$RCNlrKmVd$-H=*2avb?dyz;y%Q4?uP!=&57^nR2(MhV z)-b8_7I~6|Uf_BJB9u)FD-b~PRtUXm|raU zO43ZQ*cP)ZK}U^4xCgL;MnQRy6H!o0eRK3k5 zqWm+|R96Q7miybH0{SvUFksps+89y*^=5k^X|vnW0DeR&1RmR=uj51WS)L+5%TrA6 zEFn0ictJrj&?R_Mx-vjl4c(YE*6vt& z8C`8DW`)kRrkA8g$SUA!yN3p5?!~304=P=O=EtqR+Mb^8Ijkj~1^MBi+G>-I+9#=7x15wg?gx#*4AksIWSg^Nfa@O+&NUVt8v%xb9r~t zD3ll}{`q+mU_mRZeBntvo@qQDefoF1))8PiZt-g+-54pg z&nWL2lwMjM_K^#^No#*4sH&(6v7CZzR^@~T@{NBeUi|BJWQE{E6{*mHEjVep30N)S z7cbJni$E;m;c~j&EZ>_i>VhOq^fFVZ))&kJo#Jorcb(kaLb695>XU_*^&V3O{NJgL z!kMuIdvnHS{|&;s)8vvnw(e~Q=ns9d`tw?51)E^6)%%;aI8uRgmhi6P0xdO^@5qgOf@aItq6jY$VCw_0oM})rgLNf*8`HYr znu1GTO!+(+yl_{RO~4Hd*eSPGN2SlvJ%XeuV#YRU?`2H>Q&@*;PBpb3nU8bNTAkLx5=!JaG|#L(9v{K1;6IG;_U~SH)#z4}&NQOw0bg zA{z|c5y>~%#KawST?$D4I@2zlM!p603oOTO1SoC?HH4UDB}2Xm5mf?5CKHOLyNkFPAuUaRLMymE}L^ z<5VSu$wgAya)!r2eqz)=-1_u%D>TQmE{ERn@o4JGFnQB|QcEx5w8u*xrjh)@y+RpZx+)4%Gu?pp;2-CezYP3C6GSpH%mVf=>{rM=%GA) zEYoST-A;lV#%`Jx^Hz1iC+oJmJnfhvvg^Vn@259(6^fD=bPN(q@nTjDl%7kN6yVg#YC}oe7Oa*mc)I$-v za7v|*j}IauFRjic5(NniXWbL;eqYo_q_Gl1uzG%j^($(*#kS;Cdinz=TX8t;^grnj zOxr`#e_DHXu{Sd^*nOg!Rhd;eFvz#LI@}DZmStz4wlsU?`~+ ztofY>huH{In2lstzNgQ5j?7a!Y;Vt>~dsR}a{Hv_##YwYoy+uD`i; zBREl(rnwj`M!hzz>B8-_IK>&16RaMv8zI0j&Vp8_937L<=|}KffH@I-|7S8_y&1qt zVmm32((WVu6a0a^?-*Q`xTTM)V-+_W4|<)rRb{C{!1t#cSW#1^b`P2mv5Ei8n_>6S z=CdbaVcsXlaAkMdq^_a9{{G^+ObR{XRcu^f%DwX*q>F=c2){s^Jmx0zb>!{U@=9&u zLgK-FX#l23PO2DNMI5e6{5EWp5B6sIXU~3@?2+evf9P@J1CV=bqnd{D;l>fny=|?L z6fO{`gI#hX=(JSs4Z|J3{~(Xn!d6dsfNcLbQXo~g0;_2XXlef4LxLF6*Qq+|^5;s3 zG!T{%M535n`{UPd1mg)81xh-~Gfr`FH4%fUBFVKy1qJ_rF%KL0szbmi(S5{WM5ERB+l@5c^Q#-&2`#G^5EZCn8 zdqmk=pYIo(e73v-F%g54c}+WW@z=gB=My{L1;WCKkR%Adfba+4Q|1HYM5%SaNrarA z^m}9qCC@M^*xznFuK1H?okV#OJqSB7q)`m9FxdcOS)~B>ab{z|0QJ}a`it2echHj~ zn$Vj|y`;YK-w0w!s$#)yz9aEdnJa$ruDeW1L65;(0D$RBJpGTL6omT6?S_kBp93Os zmPCA)126VxMiUO?q{y;5QU-?D^?+lc{{^TY0hckDx@^OV)Qe;p@&i@P{fvvua=c%94OjXl}-46Se@P!Pe|s>39d!iN&Rw1Bk>mQ~)N>J(Iia3bun6h}yAcRN4k)J~%97@L+R|Hc{REChj^)1K2>2bv{8 z3c*nP>k?B?H;hyrz+PhN*}{BKgvv8*K1em_nHe2;Lk0LnY>L zRf+r0mq!UuwL0VgyMsI)SrzL|FSkf64b3iwe5W{cV;-$HSK?bY~0yblXY%Xi`j&A@9G9N#_eU&(?J&J2H>+4R;~i`wGnDPz%0jptYpQVGXD3{ z-(5=N)bUWIe}f~ygF1kJ_X1}4tV(ZxZ*Qi1KO0Z8OvQ;e05}(sIkUFb!orG(mkD)O z%&i}k(7<;pkX3_)bd&keH08<+8@aR;2}rT*!`6=<-GNb~>}4ro5C+J}NDb@_j|0Eo zuh+Ulgtg#nH5{v&`7q}yWG{0{83>;!)2Mn^qmLH~gvlo__@%6ulA*QcR=$jXV%aL@ z)$_Uez4fqsu#_aML6qCu-J-$=0Y~O}PS$>Fpe%jE_ucUv+ph!B$~om(nA$JO=S)dALRrCvCrPLzM0(*w^R#5h28kyaAO_ z?YBYxJzYvI@VZLB4CRD%yzzenjW12quY*Xpk@Wh{pOqO4(&p9>>4j_g=T(mKRW-G5 zfY4#2Dj=1y!;7Q`B7)f)i*WKmdXU|3I*p)QXKXf~=UJ(B*r|Pk;uDe5?zBr!ky;Vx z>&_=M(b)lCzJ6co{|Pgkb9gojSw7%L0gNgd#oynrBbRTK(<+pI_3E{ka@o)44&0w@ zeM8w`_Fbi2p^Y24$snr(+c08qkwov{XW-%sti zjH}BAZ4fbA16x#61FbC)WbgCB&I|<&XAo`urr()_T!Qt*vnk0-&e1U-kUhrBbnNEm z9p9{e=V-cPd(lxx>rSKcS>tDC!xum4BK3=R`Yrgl4tAY$a`$Y_So3&y*XNAf#V9Af zhe_o!Qf2R6Rh6-duCCr5^I!aAfz@~x_-Ffze_f_jcpP1a=EJ7LQ7S6!rf9=Mf0ceS zrMlB~x@Q(N%LSS5{y0u9ZL$~AIhM;mUo|zqmaS)e9UNkOA#A}BWf*?c3}PG**RjQJ zVC==|l=(73#uE139vjXRrTM&!XTFV?y7MFr5c7J4g99YWR;ogeH-xhAHK^@a{tOF5 zaG(JSf?U+ntkqWEiNw2(4`C#T%(}^FHT4Aq)3^VQ!=9IweZJ+E2))fLC~lJYy&9zR z%xPCLWHwle&U{^7Hn6vXa;Eid4%ko?TbHl=&|31p)H&dto!qz5Z4HL01V5oTkz=UVPb1>69G*61;3LJO?r z2^GYo;G%(h@zL!tQsIa-+>F@gPM#T5cln^#?dg0Y$==NNr0_c@DMH253}^F&^SQN2 zfQWy#Y>O?5z64-cj;X`0wzf**m(S8!BC8`x-wUAxt;UhSQl zB938r2|%P!mpRY-^1P7qWZ;JZIDmI7IAlQ~F%-G1RaWz>`w*0^8AtpRL7bT;VOU7ZIS@Y?^=>?-g}&@v z3#?X{=0cqqC#+~)!dkOlbX+|qJ3!n#>@V<*JUEft!XXuq)P-T{%Ku))SeNkbG{9nh z2>-rU7TRdZAp&9B9l2!fj(2HEQ)<3Bj3R>29hFCKxwvS0^@=#c)MwnHCcVD6=!ES` zQRlOxRZ*WM!O>HI<>By`#)cv>^zxdT(V6=ZCE}k>9_viGbedjA$7y}>{_q!Nb?%V@ zhXszB*Au%B(tJ1pal3}8$n~nWgaCp%fBf#${VMl^Lhny5`EV*WNPdFDm>TC**gl=Y zA3B+Pj3iAlo?HjXcQBbNZSb+CD}OIa1`3-^-8W=N7k)XInZm&GlT1y6?36t^&fOm3 z8G%o#3XjN(9cLKEHp@v$-VBGNvueS3^pCND@#G*SRD04PU^^-f(;MFr&cCn-0Tg8< z51&RkC?cI#4bvCqd=QQNAe)n}O^Zai?j@&O$;r~@$u_f#3is5!U2ko``x zI1LotjFJ9=G0a&J&ZkTz%ksX@ziVgk4(_Q-{MyMy92T)%l7<7^QP%_d96N!EjIKN~ z>D#{`uc?zNFo{VUyc%e&`1JU&7K%*cDo8EAJ50OmXx@4ZDnZ1xo#;lNE-oZCvJO=B&1!~5LCsVYJM_H7JjkiRF zfVGbATL>+CSG{ne6^>iYxsX&``)<@mApSwKE#n}bclKOUM+HNu>m%Ay+H>a8N8!3K zP94tc9}F{f8<$^tZTh1GiO%JJ0=7~WHfgsTdtTrGg6ZRB9HgD!FDVtzY>Auj}8U12{Peh_RAwOWMk>@b!Yj5@J7a68&CO;Z zQ<5Ehn8?p#O-ZPaB^_;4v;j1 zC%!^{?1(>~hNgle#*X{FwNw^lE}<#RCGTWsT2~GAgwmUuRQd z`k7eJ8UU^iv2S_iH(~Dcb^k-jQj2BS}W$-!lWw(u@FkPjGGlkL@9^=e> zM&o>G&y2ntYxp~7Yx%wm8#hQCE8)85FE}}frR6N(itc{gx1Kz z5Qpac$5a_o0 z$M@%&qORF7MmbLVMpEsCUy6(=eBzQ(CRF6*X|fdO=H)$Xwr$VXhZa={I!I&NC1Mx* z;-X6eKQ)Rkob&L0J2aC!Kwbbiw7GC!-`Ll;EIeCU>{fNVkQTcvzlI8!8F56ZAV+vc zE!>>~LaNk1w#$LU=u&lQBG%Z->Lqv5_vqFZwbcvuK|wW$G@}HLqw3v(Zca`gyJmcH zo~dRiXOBJ`z&Kao4Jevsd~)xgwC6mG3y!EcE;WF^v}58ZV==`b+|s6v`#A`y8z@fz z0t_RlX|mBv7R#Ch6TfRXDWcDdB#$Lw02avf{?uuJ#9HZ2Zca{H%GWaULMKm8wiy3p zZMEx^ypm07CM*IFNkA|!^OSA7cJ8zue1$v!Zwv0}z5#a6)PU)BTn1<}N*&`uATY=c z=kR@aa^f%o?6?`*f(>maf#e(DN6YecQ=Z}TFq#Vtpx*SD=$i$8jVHoOdU3ME1S8$9 z{MHb8Uh_#Iz`STur6Xhv`!?02JOLis?jwY+Ffc!tnecZnP1x%QrW%KVhIhnJjT4d= z&!?RIc{fq67_vNbzn}Dd;X4UIrbY6PjlcJry$(R)QS1n)yck#VD0xmI*ZD1~eR5|M zR;aG^7wA0ou5i3@gwbeg5Ds16gWE{o?han!gLDF+lzPAIzRHxpvl~fA(v7ECEF{Ph) zNRxI0L@=o$DFFwrEftd)3~A6WR@-XT5{hZomLsmz_aI>J%}`a{aD^gb!j(r5_MZp} z>${!a4A%uv{zI#HMq}U#xp95`#dVvSEiGVTY}~Q`lE!JGVK;1+hF&~ZH&fg3V={)A zJo=f2+#L;_{93t-7wz|4&I~>q^GL~}_{N@tr2kN2IEpZ$#wI3gMRLSQ(=42hi%t~b zhPiW$Ku(IS*~CM+#!^_3!ro-(@U8*II%AQM${V?rjzEvz?wL5 zbpLB@PtDm3oYokeq<)kY8%jhhwQKyb7EV?XOJV)(j`L=^@G_v8b}RJD!wx9IHnO@h zxAkk|<$B;%pBlyoT|ryGhhcW7KXdZd8i}IYOU-iJbC@_?`yPt22X%$n>1N=liZA&d zNx$}fYwBLzauW%%c0e4r=rx5Xy50mXD>wHDLWr^IITxKCk^?vrh`Ab>!ECvE-!_C_ zTi5`5r}cld02T!(d5Fa2MGz0rqP{&W(i>uJWtIO{zb~(#If|{Zsx#N(@}AowblJ4W zAk>|X3xYr&S_>Wa5NOPGZ!Te6nc+(qxieIb@{d7 zWTMiLO4t6Wsk0*hAYlaOwNTc)<2I1j$Q%Ch{&-D+lNJjkKn!dC#e|&(b8PBD%5P08 zjRQ6&Z+Ly;y$R~YV82Y3Qo>4W;#VXXFMfXjv!ozuUCRZz%Ih_Mkl_7|NBT*bJ?VrO z6+kbCZQ`wFFI7Y9ta$k)Uuc>X^oH1rcYlA?{e7QaAJ)fS0T_tEBWP9qpWuj&S;5DY zBB12nq=yDW;7LlalMp(4>^y1$2sfohg)gAa2*Dmp7o=wuK!nLk+IiNy3TotkWR#S< zjXZR9EMhF1PTD|SuRKxg6DGF!D{EeHxA~lm$EZ+$y4pK#8l(yzfH6ScdVM_F32Sqy z=r!@8oLsT*it%81funqhDH{18M8Z!lV1*h0ln;fRx0o4!uPvHr5ptabqBh&+t!PhkB5oPgkNR(LONhx)DYc>bEVp_Nt4 zdeC}6*scL?@^sL5{XsiJBs;S@YTt&*{xt%0++|x#_C}Z?MR*(PrLt$~b0K>@-%o;$ z*a+!53zoWfQl5^Ef~WCp<@T8cfpUf#FyC;9XM%1gx;xw&RzfgRfo@tp<`FNdelE{d z{?u`VVl%i>;Wol!v6x-q=Agu_uS-hsAPq)~4Sn4Qg|Et8yI5--<`vp1Ys z6|qQe4pTjGPC}x=^Qmo#DUxcI2g4WkJa&oB(y`U&uLS&1CtsBWPvanWxjCi{4pK<6 z7=Y74pm7L1L&S_d))vT2F=`w*V@Lm>(53`8=>nzK8%Res$~T?{qP<$(o2hfKbzvkM zxPB0IuK-K4`%_Qa9p2giF$y~7aX^?wn1WW#uk6JD#-fIvOh~dp9>W8VnFhE@GiZMp zyj1LhV@u&ME8uqC^oPJj948Lpad$4zu7>KMf*a)L3Q9cJ!COp_mEJel8MOM*& z{sae$aKjE>Cq>2v;xf|nltKo=;-Lafr%zUXSo4G=6OkkS-V}F zpHcEN>5ada?kRuHJG`^Xz?@Ha#&3tbg{!xWhc6Rp96BOSc^ZfI*jZe zz#axtWb?mL;S{jDc=b}84yS3-;JB@plM(`@g4ibjG`;3KSZgmKR!aziBKScJBiJ80 zm6VU?U@Q*bMOW>EAOjpP1Apbg$_iMl&!!6ugC_1p#%x;4!(fTP7}fl7YO3cKc%y~< z-R=3?RBBJQ>v=PD2ir#ze=fWn5Kg81GA6m}Kt5PFkxY@jYcmD!^>qg!Z56#Ka6$-S^9>V6Wn zgLW@oHmTldR;i}$)ISBcHN`q+D#Atcm!;zHoDgZB$%nn_y_a7c5BNy{Mri5JZN%L>R?Ml>Af$y)*sq@xcbi; z|G<19HZN*3?Yn6ct=(zM!_w#@`{0&TgelJ>JGm(BFhK5r6D*V!?mnFF5wp4~m-47* zR>kw`3O?TU;NPb;=jr1zD=Bq#gIfwg+C90w(s63T(fi{*hF)1X_vQnaD;<0B6?S4{ zYgpCH2;1LJemtor*M1Jxnx|0ZNKqeTAWgCFc)7`Y8Kv=8`%Y%Yf)!A&VXGo(`-qQN6#9PTr+vI}I>9=o%@NAIQixbf>ZklS-nGT$YL^4llr z4vI@jg~Y}(I!}TJ)VD-p-~oV`XSq;vunUO+p^Y{O+Ubq%%eV!4Fl)8`y=<}(pSG)# zxCpGIrW6Vqr4fv)MxuP&8vORLI(Ijyx=uWiWST`;3cy1kEBK`lZA!iaNFjiXtUD& z(8v2o5PX;glzS88zw$JN7byN#q}P@oSH6C20?0eRgO@B90-gExQTaH>vNSka0fPcQ zS3AHnr(u`&kDX`E)kjYE2Jyr(*mWZ5N2!C56GnDW$UHz#s&&4Cqt;rQMDh&Jgfbb@Xq_R*0 zLW>hKdi*D_zJo?Ws3_LJlgA!!K)yq-?C^NbFw7R9pz(rmdhR6I@}@0MZ2hNC&j*rz?d*K(Py1s?@!>UJV66cQJdvNc?ag^V@Ch-Z?Z(y2 z{VsvB;;8_2u>b4-PYalW)D)~%>NN=9Z(@lBntu~wO z-K&bHpxEN;r;>djlmU%N(w|^hqY<+K!{IZDn*1&pkmLbJ&c@9RYFkTT6D3dSl+3$X zPhG&yW1)$`Fz>_SVvbMHlTV%s;6{*lvD>1ZgrlT;6T##HX8qjfTaX5C0e_ra^KlP; zO5B2S^M_Ld9L9QW-n&X__GnKi7%S%CdYg=Tz?Rgu;e4+Y z<_g0{#jz)DGEq0q35g1jYh)JA4^5a}US=g6;f4Z$1qfn-qB;*ZPw@xAVRS%?bPa?w zy*P}i!nB9G_v;I>fE~pX@!{tkuF4+!dQUoLz~l|#h1VuG7i9IYJ6HBUk?q{6pvI#S$z z*>7#_HhyCFVp0u3fn{H$t9>v(r1Q8B@0F92%bL}j_lh0Apt20OrF~$6e(W(n@$`XU zAMPKV?|*KsPhoy03Y-h9hJ1r3&7tz6rZaL^h&?{WbDLYK9< zffb~gu5k#l9EA!10Pd*Xy<72`4G`IUSSY_k(mf+?FlHn=S8`@^T;$D?%)+XwF2LAS zxtQ@;`$b-@`Wjap3xOuA3Hd14I&sS`JOzn%Wg&L5MmSc2OZ_j}Z{_Se(k{ackk z#@c#C?(mG|2L1h5@^||plhN9v6V)5}x1eBPjJI{q0;ZPe8GP$-vL)l5G+OJ4ci%4J z5A5+K)l7f5_uxh;v!rL?&T_cVnPhFwZjw2uIF-RH8{*^(DO?ZFu+Q)x-V0X+y4S~% zkz9W!#`d6ujsrA>*TeQ-sfU6GiR5S-EYD+ON{7SS#jjrnqF;XTpXu^0BX!J6fQkeG zfjtYlcbr=1mR#;T(1N%X}GDWsX^^E%nkn3A3u!euVy~K3(p0o`Ev_} z3xk>qU0*1&_x_NkJ1t^}J!zMY!(OhtTUQ5D)42lu;F4pBIPnxVe;!CsFa_DmQe1+b zup{=y0RaKmYvS7Z92^|Lq{WzOccKP6IH{<^#{}J2^$f#D(y$rB{-90^-XbUq0(a;e z=W@&sC*t2?Wd{0ocjcjY2=ZqO(zFlHoS`&Fsi^tGnm3q{-;0G@c8O`hYy2r_2BW9` zL|kO@5^DxmvlUG3Y3njd(3m`)G&XVR6g} zF)i6_bMwr%3qvMtzj31JmLE#bH|=^;^2*zc$y)0(zPwl|FE1|8J*AViNT=fj#Ke!h zVjHdf)2t*IWAb)34pSP7n?PGH3eaeHrJJOVe>{Od^^AyD&&@W-RoQI;BuiB)KGiNq zwilSCq8kQci?Kr{BC~xde(q%^h0QtU@LOWQ(#gNhq^9;#ET$%6I0=Vfms-X4$e)_W zc+9E*`DU8O?6KdmgQ(hN|0Ru0VSk;coc5E=%h~5QMh~CpyCtL-G@XyN#Kol-I!qeh z7IL?YN+!CBj-FevdVz)EdzYi{9LC*J3OgvHI>@ZEmN*n8ur;cQF+XPY6&vjzv$0e2 zmHuAbi|OCY%mdiX{{8Bkx0w^LQlvX)&v{DP?O|q)-%t0b;Z=fZlHfzbBVrw@SjJ77 z8;6w*B=S<(y3XVdyXMGd1zb(-i<*@U!d{TWFvh78ar47DczH1%qMPwny(+Uwm8F`@ zFek?RBLjEO^sU7xEyum8Ymkle^|vqGnPqw^<7#A?to?d9Xi`nflcSH1)Fd&mqAF7I z8wvyHSgFmbv-~Zpgfrkza<@T?gUy-gvUbr@;$b3gCPQPWZI-j?3^=FcVazcb0l9*) z256M8psQ1j6O*!S3R4XsIV3KC{^%T4HJ+Vm%u^<%nE|!)$k;!2le3VG=9_)`GS50* zN4{qzHLLHOrx@Nf++TxPCC0Cv_{cOG8zX^np2@cqV?I4Ho@2t>nL?g7yc!V~P5Ume z(6I!cq}+@{otKsA*XkzWNKWytwj6N+-#Klt;$k&eDW;KU3Byh!&%*qjQU1+12q#z1 zy0DVZH>BgtYV|SD8%2tY#o_wQ+-;t|^)8x7_3JH7m%ZdxhEFX?Y|-jWkHbZ@ruWZb zo6`XN+hqToJfGuD#LY6bzEayH;ZQeDY-HGAIWaX{!YyT=l1;cM=Iz6{4|t)3z;u}wF4gXc6_E1OA1b~zyXwsYPS zy|reFyv!%pfL`6|ghxkvD4$Fxg>+8k`7c$G^Yw{pvrKH%^Kvc$tWl~n7g?6C=oeP* zzjC?6kWcY%+JM&D%`CiWn0${3dcRQ3TztD436%8(#;#qJP3vmudQLeAhy_Z_CMul- z<2x$Nxy8kxaJ=Ms#-PmRfgM%)3-?D>e-P}2aMGM6ko^GKbY#9M+Mt47Jl6K@h|As} zUS<>fnW!c$H%bf+VdGex?TUn~*xY7S7|Ab{7@j}0ZYab;w~=?=-^nfxeeKq3gR>cx zo7;hn*qOU#E5Gddl))>9FlFBh*OWJ&u%>iBw$nl+LiF%ns)LXy(VWVE_@SY-+AXJ=rc!-3^<{e&itu`hX~q6|?oCj7Fa^CtxuQ@`*VJ4u~3$B1Pj3l4Dm$uqFO^ySFY z$MVLI4`X8eEv5%u7FhiYjV;yY=rv|t68z_}R2dH`PPVQdX(khq8Zi@)4*lx~`xbQs znBmwy#5(+7w(`J7Q4F1|n(xC5{D@l^IDzi;?a=DE7vm3)WZ>D^Xy*KH*1)O+|G=*n zjxMT}7lQZrq)cZUg~ez;E9(Gf5m(&&&(OJ8eXOk|DoVAVyeKi}e@Y@@psXg!)==}q5}h)<`$J77h;Mq;#YWZFk2kOq<*1~(puyHQDb}B^BumF zuFK(eKdEVs1aXWOvH14=R~S%W1wOk&-z$>V)96yB3CI+D_S`+?C_m2lLr-R++HIC(X>_NTmL>j%sEOdSE;>Wd~+ zPfMzGyPJf@rB*!K@Lpf(1^0s|gp*CW^=!dFgc8|~DY@h(K>qP?rer50Gtl-KO}C>41?OJ1;H1E}sqZs=&s&|A|J4utMmFPe3b+#s2 ztkHPWS0s7MdvLykq!uaqT|(Sw&jYnP<;y3VqW4NJ`1<=teC%~=|B%6oEsV@hwEwhR z-m6(wdNT6!kTB6WXAq0O8C1sfx;ex%e>vX>TZnEnmKd;~<`-)t$X0S0+j+z8Yv1R) zrVLo7kg1iBMvn(wccx>0J=t~s>9=}5?e)QcXXfRal&JzoMdg8nzTJw66nrD|QO)_d z9=6zaR`Im9Gn_qVUS@kb-bvxO6Zxx({jtP?m7ACNLe2M?YRlw`M0>xgP2c=4LU>;5 zic&fbYe{01!kv;A5)^Yf%iC3v^Zxl!$k+P!W0l0o&R!1;TGK1%#KlFiW zQxP{E9iDX?UZYFCQ15(@gnHF~Y`?;trgH3qfrT-e0ES}Rp11pGwd(6T^VV;K%?yuj z_e^o)y7>~O=Ok~T;ttP$xj(=zv2jFYp+CGuvTY%z;?qPP<0=IKO94wo0@!_+rH8?jNPx=n)V&@ z8f;Pg7HH;c@0r3I)_tMAVUK-2{SxbmSo6O|+HTtd```SX`Tgg`kzzm8pD)V?_ddGi z>-bso#I|NXPCxx4xQc(MQMkLso-6fPMEkpI6QG4Ya6?0>)F z|MmN8E$y#QumZ1zVZMS>AVe#;S^zNq(-_o=Z2dnyA`L2#PJ!zFG!5cE4rY6XRQOo%nIKH$@V3g?UTDk3 zAq5|ROdG<9nxYiIfC%Bqq8#zfciOxxug@Cbq%Vq<)QSnh1cWZzHl~>8cNDW)@+M8s zFEl^jf-ItKiJzGS@kP3MGpTT4#UUyE6I-NLS)?*Xh9VA;EdhyE6f z_`qGZF*V5x-);TM2+AoVGUi?B$oLoc6uC{b4wGM>PqB067+}FUYP`#QaFos z(9&=ndu)S+@?i-!3YZdicJb-_c|hi8RYq@uPzQ)O1TTp0 zz(H7<1Sdc6-+7HtU&!+h$@3DOTG3hz`*q+uy0n+`=Wv6Vm9ak`7Q%=Z`N&x%D#Iz>2IfrZFlEW)=tybV zCy(GGMbks*sBA`p;P0P`9}WB0V06A`H(_04-5y1nN+7B;rOUzSrg#3fIa)b$rkZP8 zF0Dt)vfr&1RrBssF^5+~VBx&Il(orC<;-LV2SsO`hqbzo2|NLTW(|{6iEFjc?iJS`k z;@{cRlc^{4Icv*q@XHY2a6JTG*0-)-i-cVX;67sUjnnt1*59PczZ*qVc!t7*RFKYq zW^Ul)>sAP3e)vMsZ#5EPVTfuD2YvDRK*iI(mZ3^}C=|+P|6qN8e?X!H)`kfP!VNG` zd~1LVqa6PU`lcz`xL?bX4mdvaI<{1tzkF(#fYQB5lG)C>!s;raXEiM){?D#&%y}?o zRy_4onIVJ#r%b^_?n3COP`)rBF(-?JOrgf7??era)YRgEGc~=mmvNbRDP)6EH#PM! zOX#I80(w5>z%dP5(`V{@%ZiRNDWD0<6}(|9aUoHYu^CE`a|MN=yC-Xd&FkPQ?8Iny zgYPNFXMhgSM^48u?8A*`xXm+%_W~aUY+ENBMNzbSA6(WVK^g^lAQps%z^U~JGAlF& z(8aQbJ{-w_QVS^mv6r4EL2E5bCv3{hp;#JNZw&jP!FloI!5DxBaWfp&3rHl}BR8e> zF#Chd$E7GXVDNgTo6wgPhX4pxBA3S$T^`~O2S158|CM%N(-aoQjKa>HhchORiL>il z+-)ZXj&>5&j*l{QJ+pI66LWzw6wlas;%Vy&j^jf*Xzw9%AU4cC4dDuCg76upQyv~cSetQyE+xZxk#fu zuh7RRa4p}GWjMT_a|Y@QW4`&8n5v*ru1BmZ;{2(8(j6pX$8CF^PL91FU*azY@A@fs zf}O;25_hW?Obd8A3a4oKN3xH8nJEfahN5q^yvf>wCZqG!86cAgb>SY?xQ#Hu&X zn7>8l2;|qjnhHp?8LVt3PD13dX?&bZ*H4U&i4U8u7cN&cyEfZ|eW%e2u_X{riikmS z4+1mq=_uO}k;(BO>Itbx-|Fh=S=r1ua8~?5Mr#x*3qqanN~#a>O^_bH&hPpiS2f`Q z<$C)3uFz2wca}|3j=9fdq^DK_@`;2cdH}050&LmHNSqGB^40e@A^vEHg@zYySF3gs zf|CRwmDl)EQnSJ=Kitr}8&^0wV_vw!sZI|qZNOg(!6AJEWkvtPp-R=v*3e@)W0?3k z#lm1&R1#w8d-;=T|DITNm9q2#Tg=&%^t(|nwLI*{>|td|5L3IM+kQc!^U46xy8?@Cm$H%cW1QLRE5otWp8BIHyY%I zR8>_ai4)s~yPia~AUznE7f-b8unJ3i%;BhnT)tr(36h}?Kb&E;zM83Tb*cZbH5l%$ z>?Ertn?QU8tB_&!LU2MzkWG}*=hrK6T!U?M4y1*d=1mYuZ?P4h;L;?MW)`FsNT|d6 zbDo<$69j1{Ut;ge*LAVU+Umk3*NGFS(td|9A88l2X_RF)-wk_hd0AoM$aVLSaD#7QD9Htj zyQs(L=PJ7C;?H{Yz=X!WQ8*5h)*?uizn->ed0Ngqk?+;|lUxQT^@6pm2d1rHD3ToQ zf!XMC^$!rF_NBaH7>mbZHrg)N6bo$i(Vm68Nfar|3$P;-M@aYpQkJ zvY9&h3-#ykNDy-4^(SYet6IJ}{7M<1%Z3HfvO`gsiyU)9nF&)vt4R}nH(sRb!D~9I zK)Q;@tFw(xQku;q9QoOg00ZSmL(s_kSyEOUKnX{Up3zjcgZzz{+i* z*vC%Wgs{g>Kg~T)MvY%45945(&Pg^Qs{Ak3-UOV=ue8ILI@#55nJpiQ*1J4N@62p<|#9UqIX^0&wao5^E~hKec$mOUq?q7_i$e4 zb)M^7>;M0)>kjw)bM5KUe<*iSyhGM9Z&5eCD~7VINfNJKR<11V&|nITx-|XHg-|nV zOed>xcvW%>3z*HhwCrk#TG4hsPQf^w2@4$zw5m+2c;<%cqs1`j zbCh}ATVK|9Y;U8p=Di>2!qmjuc8!?$JBCK2U%aO(*k}Jjq=!9Cjfgoyf<@+1b4>{^ zjS{h*v_T^rZXWBrn%wa#y|-fKzAOdf^M?Pe)_XG8cc|6^wet*kp?IPvYY0_9GBO>g z7=zH}*ub^fWboMe)aM%%o(iIw?zo?hv~%FVQ7}maSv5hre>G5>D~aY#YgX#E-1wHz0!rv<3({X1?U4l;<}1z zw@2}u3L;voJ7D>pJJ(UsLQT2YV#(m-QMPPFT&0`RcGk81tIv2fY*4$rO&d_%@Pr6fKqGURf z?ayS9O~37tZvxj0lzZOS)RaOc6iOq!)6qE2Iwe)vaE(QR{D=SsiEg_qdW?O0pFI4+ zC&khX-E|;ke=Mmz6r_^yrfs;yZcLWT*PWkqe<~$#SuO7R3(!Upf#U51)Q+LaoHeu~ z!O9~o&Fd`zS1lf25(6+3_z^hAfL#-zIzX-Qh3#PdQ20pE_w{~a%w-%ngrF@H5tyc- zE@XoD69?^^e(&1i4$WH9je*qLqYsrLC;`Ft0or;2USz1sgS|C?>`xucB5AfbFIB8> zTZRGrwlB2J0QA&R*pR?vHia!J!7lu4e$TZ7=Z^G~9Z*-DL{I@;UF6SnxCcrsW1y~S zw5^iMxF`Ud+4Dtj02P4imkO!}5Qc-OuMN~;ypYXP6-na=Bm<6@5%VDbK|dzN0uS8#Zb8`^nD}}&+PSmUR=!8`m6&`(n_6*H@EPEMf1xo0p%Sy4 zA05K6fEo+v2r691qQT2ukjPYR5%*N7{3(ixWJaNzRv1#v?17?iLMu#k3Ss>QmD&bq z3k|hU>QiBee1_ku&cbLANby6ETi#q-0Mq*;=+go`9yYpDh^WT|q`n=Rr?(tgxI4FG zkc(R&7j4kR zFPvgp*ubqW_e{3>jZIlm5gGDr6e=~J@zPeup4lP(itjor2zHQiXn#dizVY_F?Ve=EAV(KpE1(sbY{#JzigW;??*a|#k7(xbGpSH0-$dGh!|O-xMws4k z8Lhz}Pk)d#RHCN)1KV1Q07c;YOCoNv=Ov#2FdbpFppr31gNZh5)!4g=B5*2mMvkz+ zt=0dL2O=CSH_-Ykh!0m=mMchu2Stei0`mb8AXV&jsFVsxNkw*NNTLGbn7I#p&3eV5T(Jo1wUg#ojOzkzg#wfqz4dK5Fk9EH3=vcPr@SlI#RQ?DSi9N zL&q+Eu$c#dOEa=e|%;Jj+GJ$BZOL!1O9LC(XqfzHe}U7aB*gjV?T+S3#F9)1a;joJ0K-bufA-$jq9N$78dp@hZQ^WV( z>0^*HQdgIuuQ%*4$tZLK0Ngs9da~r!owp2qUFIZdwGCiXf&w&Otu!0UUvuy90d%K! zt(rV8RpPFO_KTAq*mTTxX@mYZi-CiXSjyge>Rv@@uM@B&sOE2DO^9Lb!;7+ z&IL3_A-{w`U8;60!Wb9zoz2iLNiM##$tXkGJ8;4Z@K`@?X&=I5M`S=R_YJ=IIB9!QY;4>yYuiNT zv%;S(1tUZbG*sh*!>+OK(8TAaEW?WH3qD(;qSOa)F>*nf636I}y^U2{BuW zUvz>Q2x`uf{qgW7o80DFU;gY`ml^r?$({#?Z%?hmt+xt|54&JP?MDFvf||sM<;ZTs zi3{IOKiGZPQB+gI6t8etS zu$hy{&MkHlv6bJ3{yQFh+LAV-qG;+=&)$M`DA%u7Z*85z^*xY|g?#{<#R56(B^l#~ z(^BnDmbX|yP_oqe4Q_+4WvjaAxmiA!Boh+$Y#qL_X{rU(*0=ZS7TKLBg z!I)A*U^FmO4zh22BQSDlIOldm)?l7SjWhWbN*Qh^a!0_*9tgZ3J6~Uuo!=Caqe08K z`nst#ApZ-@CI1BIz>z;27yjg&9lTsQ8sbz^eO=r*iJy2~Ha;ya?Gz3c0;|K#R4K5Q zM^m`fAKd#sT&@W}!7-;&XS~^Vw@2=kEhcVyJ=QJYD9X~CJ~{Q{V8_d^Nar%`lzLE@ zdBEH?{w=q-(FD%~ei>}(7GwQx*wPxL{19z5$SlvzLEHIw5VGmCAbL5VdVFi-vQ1OF zVz|r$T&<9Qd z{<8X$3@^rAN7D8O;0BY3T06zeia0+k%pKl^UIBZ=d==R#s4?ujj z3vySSStz0=LZ-Rd%$Lr=SRuhnuyn7%Ik41iLOyvA_V#s9trre6|NS}wq4>S1`+&_B zEeA3TukyqV z3LKzKdeUVUAg7CPw@6++q({G0HN6y%2_ve7{>te!^_Z+Sxc*`57FCTg+dO=iah2nK zns-yZAm4}tKD2grh+@C;%f!i@{UQ(8D=@e1xS1$}5_nsuw&%fB@OIw;@i zSjjgW7#qpi`un?X{nM&GubJFxH^S%ik%nMMQ^S<}RBYVk!I8O^3AkwJ&)Z<%M9?GR zKHP~ZIUYBS7CoK6JUf!={mUteGPNkQQwzuOcJ6Ql*~@j6;scLX+xKfpl`;erv? zG-*J7VvK>FbPmYZ(?oBt&t_b1D zXW7<}bI2h#@;RBh%}^a0d@k(ww&`d zPyAqb4cjkm_-`XDlw`5o9Z1e02oy2XIz!&c7Dg*WVcwM?*iz5|8!Fls;wf)G#A>z$-CTLDCd zTZ-Ra7gxV>ge>M2@&M#^N~O=lD!gnr-rlYbcdE5bUlWjDjDLz^Tk--{p=_ES zQ%iW73JU@XKq+F3n4$xwOIBO5xc&1i3V{}I-ES&+$aDPs(pj5}uy3kA^37=gUa1~s z?`1GYzXK<{zcUFsYlcG{Te-TX*-;B&e4x?X0o?5y;PJr9KWx^FxoF-{n|nC_;868$ z0;9>$!Y{~apt^&XXFnT@;s)5<#Lshe<8Qq+CEP_2L5?D8&;^rx`ZEMki?-v}r3`U* zHf!HGa+JU#UYXtnM>%A;jVPwiE%3$gRf5`AI4CvS2?JAUva9e$ zWLI~CZFz3ds}p=E99Q7cbSQCKion4;@>92s*G-b!s;UWE93MQGp9wG;zcvf|;eJM< z@x{5B>_WrxBc_y+9NBiEsm2BgBt(YjkRNNHqNf|0QQxaGv?7q?iMV2Hvsxh-RyQgr zoK-m$;fY%{@gYzUO|i1JUe?`Cy!zz#$LmB3kzU{W3vY?!Wu#A?T$C1JJK^d!a%=b0njzc(`XsoN;#c!^_Zd zklW4V{Y0NnoJj3=3J9p3vt((%X>e@lWj$`Q8n)VQ<*Wi_HvP9N3kkl@0>ptoZA6^G zA#jb#um_=ch~r`U8+s)4L%^R-J8R}HFCmey;hNM8=NBV1Vw&pCW;uV}n6p!T3aX~q zV;MaPe`;@CKu)NHQq zwvns9^i)is@cw;$D-Lo(>Jnf~IyS5VZ@%@ksZ?y+{uyD`V`x58+;W!#ZKj37kvrhu zbyTBA^tgu3MHLMn4>J3slWu)OL{R&FLRn6kUU3RYPC?<>E4IJFumlZEnYlLR4DJHDee&_i+j$g8VU%6n+3Zymy$Zn4kXn_ zki*XVBUfki?C&SSF_9dU=!PeC?G0{>?&c0^o*jfu*D32aWHgMn%*`m}K++#={=`M~ z$~*_&&S25|_wGje&w6BVM{;79fyO7k_9!DQO`r3NL)uS>PsP(fi)ui)r-pxGf3Wx} zd*J3cRHd@yrZXBlFLb0uzOdxQ4a>X4lAEA>%IQ%TKBY#!;oIg!P0?@i~N&trHt9Uoi#&d zjn9-Yka4-LMi%e7G-(-6Zt;$U4^%04)FK!6##7Xu7C_43p3RTJsN=WCSi+GWqo)TiuN%+XS$%$M&hv^9GDfw5qKjhrT-+WI5%kUXXnKDh8;Rl6 zOl=$-$X}MagCtvXQM_r*0b8l) zP_M~wx19W;xfr$zz83H!%#KR--svvWyJOeF2O!C4?0Yh5{~=l=%@C8|m8gO9d}raq z`XxF>8IoA?ZO3q5ybglZI%7Bl@=%8s2bSh$_G%)&P_@($3}LZ_^76rI;F$fwhVQq# z=ykTQ>Tvl>`|*aAsXg;Wk$ef-R2c7?8fp*KH*EF9N1TF2H5pPNnJZ87`Uq)=-p|Mv z6%`fHRkzASy%nGr{PV#vzLwd_#4!)B_DipiGxv>>4Au{b{$ zC_*+mOQ8Bw<*XhgWq}T(r}EqMn?VHwPbg)5d3k^0?XNe}f4&As$`JeK)}<00jarOw zcqx%%xs_*eoTRwjIp_HA1(ky4{z({z<1JofoB*Y$+ z81g!-)~3L*Eu{lwRB};S?~&|(;jYevfv%+UjoZ4!|4e+s1g~JxT^7o}f7nYQJb!+dS%z0SzBEc|Gx` zzJ?%;grQ%iEGDr79tK8_F!JW&C#E|cdYFFK;+D_X@d5HyD!7QZVWrpJ7CNyFYyz=)T0% zQkz8Lbjplb<6P=!%nYUFWx>Qiuoh5HY*{!3JhvIYzNrD}xwXO8)}?Gu-%CP*JzG!X zm8s&}8d5dhd|e?8RfM{{P+Iqyi|ZxBe}bbN68JhRHErTd)UbGoZTwATevi!uT>d}XT!?ltf&MKwB>5B$Co z-=;J>yW>agE~r&E$A5R>o#3d9ffJEvM^WdOV{y}tl-6cwNF=Z37Wd36ec;@pS(%ZBb9x1F~NNz0iGxMboAGIT&B_x@R2PYfZC5Jc9DhQtZ!5HTrhkWHr$^?DRK*dPd7 z&)AvW3>8xr*KG66Q`L&zNkPnQg{w6es|R1fpQ-tdSx-ykZrWt4{&Rh?Whtqxz;uX+SYWN3Szpim!KSY9rQEJ7q0 z;G@Nc^0ZsZ+`oUHe#eZTVOi%5Sh<#T#1lm<-g`NyBwEI&*46>Cq`O5aZu&EU5LU}4 zNB|aS(K6J3sMjy=N;y+5t<|H}2gbZ+pkI)?l%WR!dmA!XU{S*?%M#}ig-0ua-NhR} z>iSdh*JDhzMM-))#jC!k>UHMYbq!d&>Rc6@r#A{#6}Nq5LDq}L8Bf+b{Zk8oA`CwE zjq+&!B@T_3-e%pG&+do`S8Dps931`9%FWHq z5-Pu1v-IS~u8)nz=gnfB?$j#g=&ASv0V6*e?)Du&Z!a%BOgZqfIi}QB&qEW6*XuMS z!I7AzN%t^#B(PyE!cDD%oH)QJ;YvwAjoq2Jj$lF2ogE|o$j82y7;}}m3R(ssN2r;?UwCFqP0A3AbF}?Ssw?+o zfC0|C??fY5Toq6^e3vM-GjFL4w8NZ};?Vo3AqSi*3^93gJ-wUd`dylhP8yV)rpomKa2;aIZ&9BBcG!4ADt<2ECS=##rvs}5{yx#0=G^oq; zT7XBe`CeV$-U9iA0ItAvwf-%(sHk_(V)w~s*TjT`^zOc5Yx6LvxmUdUqhx0kk`Ruo z0iqe*-oM`X(R2nL?C*$!zKd_*wW*!jS;W)m&0PyMu0hLiGZ$|tCcvj1`)n?2et3{0 zKy^Z$KDDf_c}?f??%L8)ZnS2hp9eq^3WBopCPuus*O=8a4$|%A*o9b|M44J4fE_7~xo45kKndS6*+AYo9&Wa*o4AX?a5Z z>l@of2NA-~mwg>@A(i3S3w6`0KokM>1;@ij#`TIftN_sl@QJ<^FmUkD8SG4UMfE-g$duN^keCS2(wSYK=^pfMrEoo*- z!mc+MQSenjLvb9xD#JAx!^cc%2j$4{H^(9LyL+MAb{r{1cwTtdW!?j=&4Z$$rtawO zu1B!?GJuj3ES7&HHlJ5XUgGI-Hq zE)U`JDcCfy%<6CFc!IA!cRft6sC&`_U;?9OiJ!YfUUoBmnL#_?A(}<&eC3&gM44U7 zNb^g6UACI0VAyr|jrky}BqSpHD6R0)P0_UQ&Qv&(UG}?TDd4rUZB6(<^!|N~&6HG^ zmiFZKy3m(Gx{dqS%@VT-0$jJepfTh4oG-Tve31K)W9Dg6jo6qL`>yApWNgV5 zR>plY@#We9AJbLw)w6AxKI5i4dt)^|?07L3H48t))cM1pRh;EnYq#Wt#m*k2ji?ji zA}^xhpZ-e6MM%%Idbz)Ej8XRg@x6Ne>|~h9l$?i!|4O;hgE=5mG=;k5HfrjQJVv-I zRkJDPSouE-FXFK@MDNhhV4w=p|L_(3+I`(*p*+N!+zjQ2;ywO0kKOT~b+4BA)N3Jk zgfTsS*@*FLb0DT!BDbdQT`l6|h0>doyj!WsI)WS^JV@}n)Yb<{g$hToHXPHH-3YZo zTm2dyG*nk}NVKW616YN3+Xp}NR8=M9b+H+_5VfyXO;gejJ{EZ*wd&00%)Ul4xVUz@ z+;;^oLD7DG?8r(=-TY?N9lR^l6R=Eg0_3y%1tq6Amkxie;g$$sMg?+6Ja1I4(JRPQ z>k%qDYu>+ihXd2VLEO29TIg5s<;-)R8)?QC69=n4MFIi9h_Mhq{&~H;0P@Jjv&)1c z)U=b;uXNbTFh{;^v|Ye;48nqgihU8gzC)`ktDlUM1Zz8(n)QWoWe1QIMd$-C60oA= zn@ROIiFXA4_MiBLHk!jjMa1aj4Ku-v?r^9+*Dh@hTKZ%<_QoJ*ktxV@7VrOtgn#ZZ z$JJ$smNq`J#~a>1gbcEw3(fPLQzXQ`>kTK03oUqn1_n7(Xs zGLzC(+#S@PFC zMepqH!wk6r1INP6LaC}fhX+zV_|SF54>Pp5NJ6gho0k%I8kZ<%OvHm8a-&rEQKme8 z{TEeWiox82z0?PLj6%Ye9N=@#eG%NO)4S^a0XEYLLG%Qq?RaL8&{^-}yp2ej^;@$% z)VO-RwcJ9(JIp!#8*~o0&ked(L9;vM_t_!SQqH4Q)QE#WpZEq*klvM0sBl7c(xVNU zFZaf}w)0JYvhE3&gEU;>IskPVp^6aNUeSIEr=e$&gdS3ifr9Zv71eo`1KV~NekPhT`OQ#?58_+VWt%S zKn4iMf-Jv4`1l5t2mxCe6V2etPG($;t$b^tLD<3+@ZL^ek;nz7e?1n@NVka41dXKC zSfmCKTkVyFF`f8vBMk%qt7d7Zz1|;?SW;`BJX24UYR%Ki=+2tPm_RE9=oyq} z7XvZ?gf9Zc>l@Ul+tqJZJ<#P#MJ`56Db*=9wzj#A%Ww}W@20zlp-xN$uR#uVcksc% zVAxYt)uOsF1!-yCgFSBD0}{CQ4Z*A_L#f}8H&dzt-5Y(zyct7k9@3K$WzOnY?&Lk= zu<&T1e>1WIjhWujp&;l#ghHSGur>Q1Ok&Mzl}mWgx155iB1pV6J%Fk>8KLm|A}pm2 zPZ`@hzO1%D%C5brvXW8Za3kI*%JUjkcO;Y|inM)IMOF2^s80YDI7GQ^+guEL` zWmZuEjk|Ytx5FjX3h&b-t-n^oq@B4N7h4#46WyX)wqy z$_vL$zd>LTzt@|dx?~$|q-Uw;1<g7|d8C4(M|SHG??q6tLtca9 zrKJEPXtaXC^sl9@Z4_`i%WvrtflCt~aQXK5Yi{#o%mu%NobWW%d6c<16N2r6IapW+ zT5;%F@G$#u`xW-sZ9E(hU}dfX@VhWfgl;DIc1iuA-|rc21^vs;VpGT#giwRLybO7j z;H(8}*U7(V5~hgl&Gb#*udz&$Q@}U|un2I9Qp{Z&P6w_ZHB!_%ZDn3Oa0*a>0zmL$ zfVN)=a};oUAjR;yKL=|?5~fSDPSq&Tff(b^u}~jfJR=E6OSgb&Cg1H|RU@=thu&Ev zuq*|734#mZhgBJgN4`O>)%Y^yq75c*Fq)q4GxQll=qu;fHhkSD?*E*GofE}5vF%<^ zW?2lK7NC77ke}emLDPt2Qs=G{ECgP~U}hESK?(`no@dhD8dIjwKq%t8AL+zytK_*& zgVyrE13#c{A>3u#l&h>k&|7`1G!%XpLv#yMudGii12m;1Q7T_M2ZN`IxkI3%y-=Gl1 zjlx`*2DAsr_nQC-KU0p(Bm@ppU5FOkI|Dq|H?W?8CjajW<>Te30`wxM3)H)XI{-ZtF;ohyW)F-5n2bH(0YL{9L|rZ;=n2&vVtgFp++Z1NcksUZjo(-RyHi-iuV^Y+CL;aRGYwYR05) z%8?MAsUX+Qsv zHqJu>Wss;o^UgNHxOBO3po18XK=(F>RszfNb>3t}p);?gN)jYW%+Q&=VPW}@E+E9r z2ykK|0DSD^2f2br(yHQ}LNBI=!u$|iM}sxhZRXp&wat=Gmd3hYJkx{25Tas&YRhvy z9#%RtwV_VC_fPP{w?`VbWJ4OB|0R8Resz`@0tYo%2GsoeKJ(z%C&}D~Rtr592!US@ zRXW8rDiYwLbXTdZ&RyJC65NK?Ua$!%H&VNEm0(k4g`bZ) zTuN>`Jou75@$CMMS^6ktU>+^`C3H->-&rM{#hix%EZI+YFRweRiX7D^)W9rNSk3;< zkkqm!UAkXsYpFdg4#`+qw06A zZzc{h7N;5jMGGDnY%@mj6Y#K+%|&`V*1+I#t*lu@M(6F@w_vm|@+n{5n3AG&tu#*( zPq9zAcrLbadc(rR%c!5R9k1;P#-7^merFZlPpWy0mMRHT*>=-lFC>*N&P^%{;AvVI1LfY00 z-C+G@i3$4%!|2<+=;hc7x_5A10o$gi?6e(PWNrnxMIG$dG2$_p2kavx+KHk8)}D#! zv$ISk>YE;*t_v4g1MkbJun?PoF^#!m&TCZAb>?woTi*0DR0&$!OHu^vsP79zY;!7! zDeKPc%6YF|!VaiMsoUEps-@A<}L5Rm=COLJw z${G9x?FBt-O9nMhp8>nUPo-O4<6%%GWu{c^#BVE(uyE@x2{bvzIG0a-$sjkN%G%RC!B*U=cV&id4mzf!%RstAee>k<2QlB_>5FQ|FZ{PBU1^ zd{(7XFJ>$zW!52auy|(K_-z2*zaw_a$qaX0%1hW^c+TaKQVT5+SUO{8o|sRnbk;%w z+aCRndEfu5fY;T$?M^8`G@fqR9C?X*^llxHst5gOa5_6 zxyQAV7O|4ud~3=pi1!eeR^w&#&p4Zk796f>ZXR5_>9h6t>d2t(vpaqkvOVk>-3D!( zTrcj_!qCUX$J6@vasXgMU#$Hc#PR}o_a0~wkCu!h(3oLnV>fBnng+k9KsEaGhF`5eWS8vDb~ zsP4_z<}mb^s#9UaP_k0mY4LbwXjNYOjw{KJ(NFi8l)kWjAwAYHPqqbplO*wErJOwe07DYXGyr!^{CD$3mpLm$^r(kzD$EOK*EI@(m$W&Y) zi1DmDrv&`%@J_wMv58H&9Yx&Fm#CdFw|f@Fwn{HL^xB=wM4~B@J&c^Tu0OeWDI#%P zDKCPe{3aa^Wjsywj17jwT{9#{Q&KbbJszl0(nxWmmcLEooW(ZQq1CQ ze>%lW0AXXnFTwHkapO}T49rCxaJL44mNNB?-%ngrptmT^{*FXO-bs_U z%bJ~&28f$6I z>56$>m)?*=FnM9hY!-_%Z_q2=BxWs-xpg;ofuJ?#!if`4!Uzu3USqCFXF>5TFWcTN zs8w(dL%qmIs{7u>_oJr!7W&2Bz9)L2xTUtc16Ll6p|F#OBY7dmDlvYvHvLHOYjRVI zn6E`=+i5Ph=WKc2C^U35y|T0eg7;98R3IV@hIY#N|0rPaH$R&y)$V8{<7|JwT%^Rd zbcm)tW|Dy2XkIwEVH;UQaOSBy@VZjo1jzQMjCM+`wW;!;QFMAbn0Lb}mCveDx}-B< zR`58^(shU6SmI!GUs&QM+DbyA)}m#zmSAt&{V?i({80&e-A z|18qb{)^A>{wC4@ZLtR#pMYDSG5?Z%;`nisoPXm@{PROg23s;b_?Z63KdDcL6a|5L z_&@#Wpyu7|A+s*qM{)A{m7C^WpqO|8ba0AK~DwV zB?p{`;0@V@UeR*Vjwt=gdxAg;o}2JIZ{DKnuz%Wh3sPiKmI8p?Grq1xlM3>a^*ox_ z2y{?JD$efM7U%3IXD;^Aa4FSNlVbPkF=ttuL_>atgq~Rra#ua^4Zb=`qk7cKxeFwE z%Uk-ds8`Tz>eiWJa#8}Hvp4`v(HhqsOL70r_pQBeGg1eumMSS1HE1+i*hC8HB-|!i zds|e@W~Fi>b0cfa&0%^)>SzahOX~|HKi1)zoRQLMneJDo4Pqs&dRs>cerOqm)0J&E z>VIY1=kT1OGreAJrXru(v^rK}O%!^k%!dt9z}7+eQRB{l+mMfU=LoqfM5?0yxcjEN zk3Z9STq>6fS14fo4cUVAIEWvx5><8{Yn=>wX!D%s6o|tD)t(iOC%rYLtI=n9* z$zic<$y$xG_m*k#!&6j7M#7?!a9}tFvHIv(%cma_apz^Ovq)ZSLw@OF*`wWUFErG8)+d(TTC|q7Zqv%WriLiZnwv~lg&jkKc3m}slD^uxa zH@WNazQIQ8c>))ljD?L!3A`P727}iqm%T!~r6=#zO#bkFgZU+aO+F>Ntu_$FB75{h zLfEnUoG*SX6lw9Q(Bf&u^loM9@G~onS1dr?{sa8&@mN1WJTyM4ERmEGHGUGbHBA>t z#l~D9+Ie$t`nYEr`Iv*~kpgVAmq^r5Kb-~@Nu*-_(9THA8V@Xj*N+?H4%=P&?gW)0MT-k5S%>e~U-Rm%BIO2Q^EC)#oYHL)yN{7(?zTQgopC*Y?rzUdj_DDK37xcO|g|UPrICIpr7h3+6pc!;V|AE(0OAG}~Y>BW3H)Z+8jNYGmN;|q0{RxuZ z=v*Gdku&TIXNCTbK4gIu6G-p*+yffX$apVbr--t?avsE4P zir)}_AG4f(Nj#!V6?Yvhop0(Yj%QDtjvpjTv2T!K)}ucboqM&wSf8KaVy6gR*P{_u zyQ;ePrv?^7`xalIrvrsp z#`u=jBZZNSj2$1mOy>U0SblYFU8)3S>ge3h7(2!FUjgfT2ScMnpDiCwSK+6p$Is*$ z^5>crTHkVw8&8wG##8pl303j|(<9EIPCRJyh*Lt`sdu`s#2Mwt~Sg}jGCr|#8U)TF7Rg&aO%*e$`qIp9$_r{G#MM*hg#*FiV2@% zLTBS#o?+$6J2VIBa*y`CecON1jzoJKRK`?x>Qd)aq|Sx$t5b0n)|DmLEET<68?(8l zB_VqEuH_%s!v%fb4&8J*narnInJwF07_RYC`N<@+4T%HOMt#3f{ln4Bc%q`IU4lR~W zbT%$}E&13}CxMqJJWHrWh@3$;>m_BzyXmIc#_zvn^Kai?ru9!GQPE$rqkBdBDV+N# zRno)WV;5jMYvobySw1B!ua}&`N*Z#;$>dcMm-k5;bYs`26Kz}17te-#IvZ*;XlRcB`E$4iC>|sj{AV*|tSP(%bsw3ym=fRme`ta*c|0z!9+4mKXBU zM#ogNsf6m^TxF5CRsu)>4*k~?-hH#ZW9CfI1$-`kli=;r+VI~R$94N4_nYu9(@5nCet#BWdRm)@Psft3Khxrx8O>MrH}eb?hj zv4j3e{T|1Vpq+AYA@ZZZC8NK}m8@88(hN_u@J#sP>#?dM4_JeCx8EJU zwap1Cmho9i`g8s?hkE1cCra#0jxu8fltQKJsUn!t7dRu2LwH9=drW#9P+%+haZDEt zqDX4xFU-ZCC!jd(zc_H*yGIqL{C+%4>Cx%v+~D^m+rP*!=-qm^wB(^*+F+Q0oMHiwl? zg@-0bCtWWwiiDZp{J|>_D|v|&PFRZi%tQtrz?>f%`+5y-Lysns=UCo*YvW;U%PIl4 zj$?CKOKV~vC^X0k3Y%`5tn*<;E@q|{8$90_9c>VQCr_#dOong1=c9AQQglBIpSufBWJ4p^V?q$R*J8E8b4=&si4sq?P4BxvL<_jN@!9fKuG`DnW` zv0}NS?=J|N=$)^Vs?%Lmr-3<<5zRTNMzVO5CRrm4;+sjS#~jXXE@}H+8%f*_xju@E z-mitkdS!$SJM5twxt93rNJ^52-~b%L`!nY9*sgx0=55wp_$J95wV)yjk&!h|C`4wN zH9q>ZE&0jY%{O6)cxOd!&CPA+ejQCt7 zEss|z$w&ZQNxq$osMMFa+L=MIT%%?1B&^}=@}dt7*^b2hjo)A0#c`mD2=2?R6tJ;= z+u18<;);a#_8hy=q}hVG#_=K8GL?K<0Lj9x>#jUwVG>-i>Qi zOFN&#w?ZXc3k`W^&M7nTcjg)w^(wDDy-JHG>Afn((DY+~VQvnX?0!Fu2|uJR(!6;1 znuq8!NA1=7Wv%TVkChXo9f-fJ;ZscujCW*eeidU}`O6-W_4L);rtp~RzqCX`T*m)! zMJS4i97&ix6uVvI0f7qlDk@=<7m`uX4fDxmhmGW`!Pb`&@!4=xELD<^1<}H8oDX-~H4a$9 ze3zvLl(^}Kli+=`o>4A0`+F*3QPA;uaGtm$kA|82=)hwC1(%mzPoJZ1o+TfP5*LQE z+T@Sna}Y#vE#0T(lNyd)}f*LiAyI%VuLn9oD_l(z-Y`v1k)n z9Tw5aen;_}2AAIG+`dmu-Q?v(VXFV_`Et85gkA~iuOP}bNjcUev>)o06!E3>npf~V z#>`MmXPRn>IOGnOyj-kfUI>JgwD^c`A&?f}o%OuoQEud?q94i=tsSqy-pT~yy%%=O zdAttlgWIa}v1FYrT+E~)Z*+v1uS1VF>9p@AdcZ+9kqTt?85qVtu*>@2aMd zGYY^WgmL7`bTuP!-ySNhuv4NUDZpyPeBz=Cw}H)P$;W-Xrbdz`M!$^;#uZu9Kq(0) zO;}T5W_WjnPI*ZmF;WppxP!%br*{=S>GXQ7_0+AOBkQkJ3xWTd&7VRmgqW-DTw%rb$@R}VHY5dNmve=HlVIH#V-0TGGcg_ckVuXRR! zF5FM$encP`@grv%V`JktwY8%a-J6>t@G%jQrlzJzUYkV{6@)~Y*=tvVJ3W{g;x4p( z8ZhqjkB{7Fg{$dzui}-Guk3k#egRv5Co=xA4LM9e8cN3;J~1mhv;T_uV!VStBQ{XIL-*dr;+C@K{%7VOJ3ypKY9q zqU|@OC28|`nwGI#lc_-U;Sz6&Hl2L^#OLNiL)o}Xe*An#Sf#~}S+NFb6e|1tp_PX0 z6-17tBo7Nt5|4SSv>j!ZJzdgyhErZnJ5wwe_SbNm_ve{hD>JfO)u?ER{}F{kAo`EL z%||9Zt6NvSMVqbH_XD)!L%8ACMjCP_Eaf5fzkcZ7K42C>!k524^Pm5ndVCEQ!+$>P zzkd)x4x2Rc8@A4-rlyO#TM)t=^uSf^*Z=n)Be}*b{U47VS!(O;oh%*vpPnJIG=j?y zjNJeIxhc5vg?izl_5_fBRqWFEZ*T24nhX3xZB>fYJW* z`^X0or?|b3cs%~T`rUe^m0}4VKJtj@;{1TsVQ2lfj2^iYL?))D4(a`Q^6aG27m%R; zKmNuhIcUjKepVLrc)l)sx^hGt0$CDa>xEH```4j2MCJc}cT=ow?P6RPY}+J8NAbMW7X4p?CMf4KzzbugrE!mIhm>kG=u<6I*7 z&p$v(@gF1GY*P0AJ@yX<`iV|Ubar+HP?3{Pkx~ zVR6*)*pg((b6Cy)Ids>Uxvp2cs@ZK$D$(MbgEw)1I;K<=(sLl^5VFwpK(tye)4cfF z!F8%_JlDUjcVtsD&maYic>ugRDZRthHDwU9NgyMsd_n$qV)e?O^@xmq2ckOVzrUIP zZ%-)PI%F#OQh09xrgd}h)JDP6^uz*oc?4ft*Zf zS(&G<@mkZ;60bM&waDn*Qs({>KOZPq!hA z_cbksM2>5T96Zs);WmhY`BOvoDMKT|TSFt*+rDAW^LJ9pAt>KPui-BOkj#mj4*w!R zM5WT3a_?&t7E7f0@!8Bh^Ca+HY>ORen@Y4jOq>B|2)JJ9N`o}1i`6q)`=#e zh%z}oK0XsP+V$7ozc1$hi?{dir@D{-hn3PqQ#Ng7m7S3!Dy5XwF^~(C(I5>{cu`=)1xxV*r-2cG+cwCQ17w0%W-t#q{!`PVbSsK(T z)u3iE?fVmccq8xn16^G?Y-_SbkB#)Um)Tu{IfCCS23xe6TwE0tAVS*6PhL6OMlUwE zCg;{xR&fue8b={w_(C!8{$X;^WKcZ$XF>K_@~ZJA3ZD`M&!d1X(aVCrlqs)=wb7IP z6P+!~*g(LhVeDEVH(%4d2tm=6_lHmz+5CQ_trL=9Jd&HOZqgNAYkI>W3w^X}lJ-@b z5H$HGhhM%~*1~FHR=9Vd7LpDs)T+bYCoUazUzz&RRP2`DZaa%`K!Ilp{stKrq?aU=z1H zg*F9-7w3;1d{19(>>SFA!h!blzP$kf4_Tm+QId5s9)0xc1DD!IzUgISf><(JRq;s> zUKBc2z7ao2yZO=2?i*e2>gkUfsULoFe>E9I$aW9(G)_uGi^hl9T3?x+@1sdpW(XJs zkiqnHp>hya=SllRRgnh>oK-A+mGnPa$IPVJNuq(~-@G1AT5kUFie$J?I9;FwcquC2 z!u?Y2(g8PuWSJ!B8^5`8F6MyR7a@Qpsm4quTf(|Dmx$Xz^jC;v-8RYU0oll8A&rIX zX0AoWDx3&Z^c&5OqI9pGepNfBdffx&8E_rjgV8r39}c^B!3^;gI%t4E(9xw~qve?) zVbT&ZFJo^phsOo1*Wd+1!{cbTcA)n%eRd~ATK-zw1f5~_93PqOhnq_cx1gOScr0&d z4KQB-!Wi*4p0%_*yh7IoqxI2+l0*=0hKlB<1w6pMz#3mo`3dJ6Fj!t3qYA>O4%bju z4D3PvPqoZwK7k>-$`;IC|M{M-E`UsQu10$ij4C#-@v<2v9n?CtAF#r(3LRoF*dl{O z{Zsn^x%5)7yKtyt5O8fGXPOfZb4B3&KdtPFbytx#`QCr)vhKFQk5ImQ`*MbxWnS-Rdb$o2|plNHv{G*ZL?h? zhBSFwghO&T7`DAINFwd7{pr?epy@^4-)=M6SX6y*a_aSMQ`|N4JmXDKoq+(qy9+O> zL5V5oj~UdVNU`F~u~h$^7XQt#$(Kl(gkj;&oO7zeC#UT8p9(|-+^Sx_Pz;4Oa|v(8 z*Ls)pwKKYrd+ML-$?+B&y(>T;>yfwaqZ*~-g-^WwSIj3PGsIk?U#ELI7O!JeptJr| z7go=gjC9kl#`({hUB@0gIR#iy#qBDkoZY#ASbnR)R>FLJgTN@y6ThQIM2`;F>l*Nu z6K~UQt5#u#P09s2tX#i-auvLP0wlw=lWTtFACG9z+>A%C_iS9_2#pgfKsX_@_~mn3 z(U&puxM-0qdpVod!FaHH1JS^{1D>?HM-;pG&r<&~D9dcYc1v0ch3dnMZ&&H*o`wsu z$iy)2n!fJo44ozp3VxQ{VbxtkzZ&vV=PIfRmt)cYR)hpnmuZRa8KtkRq-+Kzb1dr3 zu6OwjTT8g=C@$y8;jqtE(88@~cg_B6hQ8u65sQqpt@-m2|7P;|jj{XZO(aKa>}UAn zE2sTU} z3_-?vKnhr1>6g0=aAdDpzh^}$@ni$~R-JY;=igE!E2$}!XnC*e^U znKoA+7=Eyn&oXM0kQ4;18#oUJceksk$_gWsedW3%3B*LdZMW^?juv%!`R^@@jFX?< z^IsSedMXyXwC2CNR{koeDo_9CpIq;nNx3(s#~aO0ykWk#_wIXWVDtT&f^& zKU_Bb%z15FjE{C*HLdXQRAEuW(YTgBXJ#YhrJ|zSC<%u>)eC(*1&qYVKOfmoIG3f} zM&hXE+5%J52uBIYbr4t5e66U8@bUGJYq2rdR-VA5c3B%an|uc`AeUczn4ARpBdDV< zXatcUwF@8iMKVnVEcpKQP9v%d2dyR$?TMH@w;~^ZH(89BJKtG^SrPWY7e7#tX)aIx za89S;W;468@TA7s#*3nODqLp|V-OVlNd+v~Mz8b)WXWj->K&eg1x+b5BIB5VyJ>>% z*@dWi+U}}XW@H~vl!-!pqsRM3WME-I4rC>(_v4sf|6^TMJWH%hWVbIF)QP!BsgSkL zBYEbS_tSzbu(I`rE`MRMT_MG;#bG?pM-dN9CI{F2w{V9aYa${qXuBarNkw$MY=wnh z<*mSs^08(fhi8}(|56WeYQV<7#Qe%Ma$lbQ3-3P)kIy}Q`)>JrY%Lq4Mh6aSF0;9} zYvt&Da86x5($w+&$|Q0s>UgCOa%p~3S12OXM9`B_&_k(ai@Y_x-;fxo{KuB z{o=W0-&D49U-xHlj_4I{W#!KneGgfg82-Iw?^L2o^{IiwY!)ohVMln1vNP&ViS6!4h*kO@m)-GT zt~XR`cA;y%uyN75ZsnnskwfgAD8EiE9Q6%4vS3mj=1&Od^g49c=IG|!sv;fDRD9w@GQH*)B+ zsF!t%^RVj}W6-T9PuTnW@HBamlnfyllynJ9#7U%eQn(wb$tx0Z6=oov@JV z{;!WOGS->c?z}{?m~8;bc}2wCCFYAzP+`&9#E$pG=+dTe6?xO4gV0xmmB}08C|OaN zJcHcWdro&8R^hgJ4lUE~Y5nD@oqe(X{#**5=Btez#~)4{mD%F)re&&YJ|5^(gDod4 zBp}RJi+w!*i!m0HYx;TK)@Le1*uT|&%O4wU4}J2%=Ic&{teka9g>rs}>Y0!urQ1ui z@?gKbkUGml>%xAC;fcLUrh^Y z5?$E3Jzb30?*6&!NFTND#jdftQNr#WcPunYpvPnH<%d4<%ek@edW~w|NAhf0cVlSn zB(=r2zAlXGFAuKt-$UN@;49;~@lYYH=AoqBNiPdUS0k)GT}vU6JpY#Ue5-e}%h9LL zY+TZ}H{7g*zY@jieb84&n%*5 zpjxRroz4=fQi~Wkk6IfirpT#x@Lw4m5Ti(ctE}>p#~rHTP|Hs-Dbz;{PcHa4AGpT^ z1N3AyW>B$v`gW>)E6K>zafeKCvQ7=Ak7T7B9q{C{KG1q60gfj!?uvTh>NxTGSqrao z^H|6?hVg2|5u-W*0NvCc;0&gr5c9gfs9cNV#Gw%FvOY?7r zk}k-4!{06#at>g?_9MhYGPUQtE)D3JOP-@+3oJS%J?Jg6P9Uj}mJP(*YF)>(K39h> z@v0Y?BkZ}4PL}fiZj>AGp=*NpNwPr>6d>U~F{<+8gB4t}`O+?nq;B`?^Gd{}RSD`&zW_Vu z6hO#xKOh({5ZC<^SRi6ws({x`GCDuSUmnR51OsmGRDh_y7U78`xxG_$Ga2civbgP#Z=W5@dd)j6Gu_FL7 zUR%TG+JDy$@BIC=i!kXIJGl46+kIjtmG4~W6v3dnG?mnjdZC;^MJA!GjDJkFexLbi zQ3wfa@rG8ga^=S=^wf#_Oz~yk|fXI^W32yb!Ng(S~C2m~&XJbvegO=-^$g<@0LiVc$|T7xy+W=_4Cd;wD;dazeA?ZjlzJ?k1KcJgzo2_g zgFX%XeTpEhn3nB$!?sZspZ#fj&a%{XCh|H(G$M&^gUD&!1f%d%`Z#1yq1O$E(i8k; zYuZf(L%q2(-BNykqCP#hv(sFoB3pSfxLrK&N4|$3&d2WR_1FGe4gPf-8(vW+CNO@< z1_yUe?i7oh_Zx2XJnw#fz45W%%fS){9_Nwt=gpIARN@u=!`Ev{P0)-Zn8Aq4D=X`t zAjXQD{5Zbz21UVpo4q*Jimt;!nF44g+A;L_lME$I;F`?`8T4kfXQZheu-F1uRB9A! zSQJ`$d**S1qExiT7{h2FZaNNLh9k@)-~BR0SM16UY>CYf95Zjv)aABrwl}N3KkuAC z4H-a6{ z<9@H_3l<=H@g;Ch!9c{NMt4@oiVh2_d zMz_+azrz`)-eRt|C_YQ{!G4BpHB>pm2mRr*4i5Eun{@S5>p~J$YMdjC1a9nb+Gz5V zAO4$zqvwcx_D!=zc2y$6bC;=BV?v;>j}52n(bZi7c@I;0>EVw(IaTZ@RF37%8#nm> z_1_53Kk@-~uyLppRA}uG>Z`Gtndvyr%5As9uPyY9xS5?@&iHCVLc%)z)9z4F1q5$Hc^!C|9nj40>+72?-Tv`| z1r!BFr;^oI^)FMl;eGE~T3T{jy_bI1e{dgB-|e`$3F@=J68w@yKTLU@M&nugD`XsH zIJ{U=wQcv9;+8Exuv&IemPkp40@wH|2o)Y*=kX!u2lr>Mjrt4Jo8@QGz3e?%qEh!V zL|s@Fa2+l_RO$XVCJPOow3}>jaOm;P-rG6&|IV4(lSL3(F_V#0c%NN1XQa;_jpACU z+!UK~p))tmQixTsg+#rrs5>E-$Ca>a`c)gzli7iaY_)@?!;SCcad8XI=XY3@K_WXa zd@zQDVhC09{~HXCVC#aMmnZjl9(jHHE=4*X6-ytupMqu`^h6Pp?&beo12AqCv<3iXlOh^d?d#JAvY@LnTT z-hLOh&+Wig`l{6%J%$;p7N@_2?4pU^+g{jV7ODs zZ+MIOvqlC-azj~*WL%ph&dF@{e+yGXrEF$>U`%?w7R?>a_b*pVf%mU=p=gWtn=f3I zi;8!Dgz+dTXXVV%WCW+(wu*NMa2hT2?=lj|_|A!?)J&VfTb^!ic*>eYRAY6;lngdi zNTVSeIU0TE+@8@Ohp!Q;h?qf^$>GC7VQT+OKhoJ<$O^~`!d=b@B>N#4(n-S4c`6A&#+F>vGNj7B`SmQ2z~QO~ddj4=d3N9y-?(F!)>ZHtYuJ0m}DG-(JJ zth7I(&AK-)G^idJBkBz~CYN3-!u0!U%20_pe#0Kd65~OTYl3tYS_qM|DO`S%MkNmH zNWrU!fEK3tkqU)V6ua$8_AXEM@2o9y3lI*LBOO{2;UJgMvM-HF>ssjDz+T%>vTp(T zE4{XQ2QWyYDVX+nI*bte=^LMiZ&(%^4=0w87vkC|gO_fq)8cLGJwbSpSW=OOYYZD* zQs0U#S)7A#fcOXYI-KslX zQ)D^N{z8KxyrFJCi-6#nXiUTEig1XcKp^vu(TaN=%808}&3!Z!=*jjZiI<}b4o$zW z8jb#b@J-}=#FTZ58QpgDyGrWQ{Ew*1paXw=4M}+ZJSj(;@Q#;f%&|0s9aZ0Fb5j@r z`hFS4FNgefGXxLD6o9qcNbbwV9d?#Lub9kCUpffAY7+{ad*4q0XWaZHSK_Hh=jPu= z4E?>vA94QF;pCsEHT`=R*3M5HHa)BzREQZSl+KjDJ$CzN$o8fdpcz!1?hLQ&z1w_J z@}snpQrIhnWJ_8vhV}HF+sW^fQY-w^1wd5!UU}5d@>yE{DH%=6*TQ3!pG-N^M5%f! zG0EqiztBrR!JYBqJ*vML!&OG@1O6~x_}L-ujd%Sby1Ih}dwXu}s=VszkLk(s$U)_c z41S!%E&Ka$HaNZ6NcmY_u;@ihTT>CzQNBd9*=_ryINF;HbwyMPcSexL})8S<< z*5H&j2!p$nPJNPHMn2|t_rtqO*M*x`TYxeShCG@G2t6s5U}IMK>C3#31yM3+U=l-c zQgSt3Yd_g@(u1&w85I$>xB5o+su;P1b9$Rw*@~7XJpwDlC}^2^O0#z=Nud}=7hWFc zrH}uJGWXvfSd*4*D(@i2Yu}W41)q%SzYmUo@-pw_a6%z=tyD+34gM-^ZEa1&q3fpd z=%yD#s_sS#UcE7;C?WJ!IXqbmcRlt~eEjV?z8X!k=Dy>1iY>C)$7@PQ1fD$hXGd|S zfua1tb5}00dRobq%HDkE`Qp6WQHi4|1fL(#dziBIH_x=5RM1z$%M9$EJBKJ?c6HAj_w|6pK&e5litwFhv%m-hqecy zCpVL=k@_;YFF0>(6xOcFxyWi)d{vhYyzk=3Bvz!zds(LZ+l z@g094t7Lz!@;m$IhVQ?>)2it{Z9S>j0*HD?dsLCQGb*FVUMU6E7?gMJzQZhmfsOIG zp{lqeg*}+R+kX3$yb*r?%j(PV4IZ zuZG7enPmuvz+^(zxo~QfodV>KN#en?=IO@Zue3+(pSz0(b8p~GZ8H5$#rPTQl5^GM z%e}XK(iaF+uAq)W;v*c=QS#}>abjZ9>50IY0<-*olQA`+6(VANC4yg0t7e<`5T&&d znC}I)h6LExqivdxAD4E9K3mEkENw${`hc5p-(o>!8Cll zbR&N-vWK>08ipv)?=9TQ9@Wwl)N0HB!|zBNR=?RnUi;vn*j5WJuy|;aLC4Qj1@ z#MQ1`_W{4di+f%Tn{F$`StPnQ5STx;k?BL2_Ez+TtR7X%L`h$)EVSAFXelghZXfh& zx#92)!{s!hR~6p2`l)($f*hn&bXHiAhu=UiD|ldr_#tkGEY`xxQ~1s!o%Z4*3uW?~ zXP2*4x2J0Iv6ov&j-$;fk2EzAf2h6CBC?kk){&edf-r)L*qggcLNM%HfAFAe;Ngp` zSWp#v(VB$<*r$#Tfka1dWvIUwVlQ0=?g;cEpr zFu!D_myir+7l;Grs()lZzt%_h8HYa1+dJ>4y1Xg2#Z@FU{BZ6tK+akTJcF;U8gcxwxm7FA!F}o@tb;bVR_h0l_OXK4LR4^TbMtZz-CzX` zz<>_bHEmg`St3Z;SUUc2jUmxqwvDOQnTmww{W{aVf8TY#q9hTnpqiA3n*(%72yLjF z3$7&j%k#dgEdD9uRXrfdrf7EMLCj=Yw)7e|Kj;G`eVXaEKaT*wD#~tM))7K&_KR6~ zW5Z-o7{LKof$)J8af88VEXmB?zEw*gDdv$Z?MPvRk9Bgl`){-sA~ZDA_ds3_BIL`n zCJEISG12rNASMphRbCuItw~A>d86)ql-m8C$ukt}NY~(oBu!Gi$}ne8X}MkXIii^I zoCe}SF&kQgj|ST;+mdKyAw3lO7zoHSH_E%u8@0ihzKOd0*g$^4W;FCiHWCz*L_|d1 zEj#tCZ(2bdqj?j|t2!mU7hWk}PLdo7wkTvc3}UClXR;p+E|cVaH>6|&6JiRuahE+l zudRlSP73U7v#;!M>Ji}aLKAWm(gslgj^c7c9eg=?@e2`kzRCH-o~}-(bf4c8Xo?Q&&-So4{Nryfb})7+Ic%;;T7^52C>xs z`t|F|lXnJoB&f*;Zs^?vMfJ+|uP2YzPPYgYq({Vz+@nE96aFKMUy;Z6)yYg1vMGDPzYgu8c4XY5$ zvlSLFhx0?|@j+0?b~V(L^8t+;Prd@p>Kp`hWTlw;E3e>Px90&}?c%4hQe9#+$pJZn zp>Iw!!V_a2QR@@{s5Y~s)*ppv`?kQ2ppbgCgJEI=Hdi63-_^fmgM3~t`uKBr5b(uV zt}Z}&jO_;?M6UBTG)>ighkBymc zXA@7A&$~#Ta0{#oAS1nV&`#r7Cfipv8Z=@;SaWC+q#H|#8Scx)8VlgP)`@@r2PFP@ zGG=tNdxiLeBQHpYTGj4*lJZXG?F@5El&ZxgFP=?D+2T;JvZx#{K3e-LH5U0DTaASg zTz<$6qEtrYY<;9>>WuMKivoi|6FGxwD8UROMEKYkK2mo3nNocFHWYLp>*NDO)X6Xg zwFH_?#iyCnmyA2?s=An~L5i`%$uNnsvVa_~CQTFO3^wBx8r($X0Mbnas}8$B#q*n4 z*B@+Vueprm9?65)6A6wT#Ud%R&env--APtFIyR%DKa|ni*{nig{J~*ld)nF-wQ7f2 zTz`Zc%z1Z{5@@OlGdk18NaTN$ z4QsC8y(&VC@EjaKtrD|*R|%ur_T>A;RU27VFX`D`kvM!YEH=il7=OC8$XE-a&#uoB zb8>6sW)Z`QYZ>2?3g+NTCzv`gSi^s#$DAR>Y_!j#owMkS_}-KxbqjLLIvX9w*h_P5PBD>+9>o2f;zu2MR336G7Ml0M|J!Pj`e5g6YZ_ zI53r8q}ciR(^cdaWWn$xw^cz|*#SmwfYk){#`f_a>WSp(y~U60*Gv32ON_U@0I3H> zvh`}4Ch^L3GkuEhbaL>o?ZlFtRy6APuUoUHS#lc-|JcH&94y@m_TN?ARuB2Hzc5+$2Gm-KxK{wj_E22^eWvOSY!ucK-;#uh za=k3B%4a}OyU{#@Piw4?h6~?pK97{HaCf!$NQ`aY@@(p>yyw~eDnek!nI>frPzGalR!6duN#L3>C4d&_GIg@u%_ov@UyeKus z2m~K#-ETA{HvYzE-_k;z)6VYAD*b(fBT%ZwbXoeX_p&eD$w9tf&s@~i21KDzSN@p7 zd~JVOVPAj0O8&EbwbvN>R1EjQ#fU{sUpdjoJbvBiZ`-F8x$2mg4uCiMa`wr~kA8td zD?cy$4H3&LAuso1lCKE+Tz!{1eFC}zQ2FKzs)5>Hu)x-@16na3;mC-%Lj8E!IOKQb zFJJIWhvv}ALf1^!I+)$CFMYJSL9!2*51+?}YQ9)aM)0}`sGa+8EA{CfQb8%>jQ+vn z@VQj0D)d}h_ZchAx7xk}l%Ai*8$P6Eiz}~BZfvHUI0Ow8SUg}cdJHU}SpMAo!`x!v zXH~YN)HnQE@F4KtJfOC>v_ZvD-EE&WnsKsZYUg|!O$JN84d(X6_{!de{Nm^1dopfr zC~7NptvQv2A@2TLff@eg@C!|;WMP=RL(vaVpA3izLyoaRNI=_6?s8I~P)JbW+$&Sg zW=U`Rf5)9Yrao8#kOqd~!u^-v%>lLBaKlp`f2NjFYDLg5TK(H;V&PWV{xuiRZL1^kSgEtEGDy5(d!V6Je;I z8m>~+p;-Pk@6|T}kMc&(l#c0Ji6uSK@;4kn&=0Kef?tfv^+I9?{nGKgD7qh%$v_Es(<_2u;W`S~weLO@>?5fke@qr`iHg5Q)=Y~r_b8PQJG?i(Jq zb3x7&6^T>q{%GChUOMm_`u?;0v%tawlN=aJe z#5FLWs;r!@51|)ZO#W3&@?Gwiq4+`t_P{*RQ=h-><{#U;lx+}iE)l2T=|+76xsl&q zS@L^YKo21E>a!TNmxC^~1-N%O?6!d+b`AVNB^Y-l^jHlGVPLOuc2kK6vB6%k!d7&u zy;VI9x2S*Ysp`tcfI!laMve|<`Sn(SjTazUcyuM6OK8${c3(t7GP#^2jmKDiowl)VXTV2MbFhU`t7 zHI>M(xd`?n>@oA&&Y2p}QGQ1lu^o}AKTMFLX_{1O_nqrSu0E3ufpiyO<$niPvjMc$w9 z?^!D;erUO*2vnsWym4lxSxZH4zA-=*E`$n&(Y(6omt^`_hfoW@p=q_d$4I^5Z8E}> zcNZ+lG(DLBZo^SQGETLnfAd3AF7=1v~Q^@oW0ccZoDz{Ho)TX*gWUhSg^tzsJ z;DL`v>3ZZ8lbT2)hM_#_gDADn+2%?jitjX1B|5W`4MLf=2U07%>)+ktyQ7D$G3`Sr z+k0exIBx1~_oB9Q?>|Upx;>41vYut2x@LUoSL9~oNK(Xl1k-1+uYKM+g)-N_-cOHK zc#^%G-2Xl6!^>Jh=wX-Dj!nn)c#YtnPT(a;^W*Irglv&7?T6Wp*8bZhVdz2Aam+hU z66Z7-y)I=c9YKNG~wwu1iwh^0Qg-V+StNy7|ICF>2KddMJ~XcEk=6Epncqo0_v zkZe(xYK}R=sn*F2sgU30No%!9H0MzR&|xy>e}J)=a^i67=G5DhX|qB8C1qvel%7l8 z3HIsJPfa8ho;-TCco;}HY$xKU@f5Qe3?ZSe3NuWMrJv#C!V*VX3|;uz9A077ZYlJxooCaOjwOI3$aP7eWX@wil@?2FKT~Z-I~pu zd>IHu4dH1wbnJ!u=l?#dd}Q@nLsOl=Sem5$ID55Xq;Kh`b04GO%A8b~#^5vKkHJTxHpX_`+lRYW@sM0}-2s8P_I%GY%yVJa?{g|6^4zbRNn4 zeB!bv&u07h66dP4@P6?uL~x_(-44$+>VM!{$lV|)DPzCeZ94})J0HThlK8QDA$HVB>Wizn9cl%Y@AH-n! zZ5Z&-IQa!Zn6}J-M~lGCHnS0@e*pIHlGB z!1{us@jOF;!!MccN3K>>ZQvnN+V1OP$7n9gXvnnDmDcog^b}4plY23MiuZK{hM0BK z?Lb@5hK9==^zhIOqJ!X|^qh#@=PydF>Cz8kP(U2U3l9 z38?##Qh93=R)I=kru;nc!gy)%-B|0r6JmVLTs zdQ8u3a^Q6BkGD+DBOMJ^gt^&TmG$^xMwtIah@YFHbdZ7Pr>)|V{8vd5Hu!iueuEsn z1HYx!6eUF_6ZEphbUWe1^ zY?;Q}v&3Lj4Jp0Xll>gJ3Lvw?c^#|@J!G~l9)7+5*gaNX$bL>Q5PAZ2nVE+XpiRWmvkwFriWaqgiGx$Z<(3`W@EUzC;SrAtM z`inGzkknVk7@~+;)1&+6nmbzwVTjt<+8-iJ8#i{Yv41P)3$7h9!K@p|%JRkpho{g7 ze0c{ZiP(Z5eAQ1+_7Wa8SbVOk!L`W(bc|(xfAILPpy4eqPO`bec6pC9+jmx)>xh#a z8_gN1pj4zCV@#7jD9amrJp?-*)VnR64Tbgv6*4Pr5&fm1ECIUhmkww;(u7#7vp)RD zF&=o{lZ)XiCS1Im!;a5(QkZIR^AAH4=0yC$U-XuqdQUJW%$XTQs48qO<~>de`|f1* zEjW_SpF7^(db3D4G%T?JANwjM_@)sE zE6B9Ey3F3Q3ZlaD%l3WK+_g8^c-GD^4Wol-=-O7B z6*Mhg@g3CdYMr=J`u?LuJ*=9aO0LbEgh*k)Q!`C|Va&x-Au)EEZAC`qAt((oxI%!a zIWc+=BC@}rO5?N1u?GlFBAUMq3xSIc94SbAQ%``7fpX0atQUdh`ZFPpP$0r64NAWO zDin};02xLo2InUzx$|Ciib8P#RROx7F9>qsUGS!W`@nL+8z?P`BvHn|E)PREFz_i> z;6wb*W&k~~;%n%aH@A~PRHwWc+`jnB?}r=Y1exUne0^Zmoxz=VK6~uo(L1wfeEdi- z7H$D%62RB%&6cVQIib_Qau$ROPXpKwZ;ka_|P!WwS!e&w;@H@F%tFaHtPRfQ~0ys-KB=UkC3lisNPd-p(JA;A0t zd`pT#n+P%>fO`gD$=VC0*!fFNK}{gl!($DIeQJ|EM(I%mRcW^sstTakfrjRgKxdO6u~G1Ytll>kuG!$m zkrcaZKDKiE^cxO%16p5j;Ih^i<#z)!)8`H*0AmUA>*R3GKh@F<+7rr%)reL;9QU0I zWK$R%rfD>uTOzKx4|V9}KMyZ4t3BDUSW=9DS3DOP(DFvme6SgX`1G}-oR82vr|5s; zw*PeJbz<~3pKW(p|2coDp4!a2qPx1O6YgFVddZi)N1HqFGp z8;iK!%D$M3p3LI5GQXHCSS6$Q3ie1wq3#JD8f#9>%#h#xK~#1~GEu^{hH6W;Wkk^v z;%pyAp0zal_kE+rKhNLQJb%IC@J~(TMfB*WGf5l<22b4wBSk42I;mIhiTb*g49rq1 z7m%lVGIMf_yDO!R9sHT8tFIp%AJ5%GZHN9A=h2vNV{=Ho89mvYJ) zY8TXEVc20=nELceb8D*wtIbbq#sc{rfHRjk1P*hhF~j!yii^N!}a<;jFZ9T2j4`!S2)=-{ao`S;U0Ss`JN{z(S@Wm{} zAQ^R)e;s&Zpj3{U+P0i>lgA2s-NHr2l|S<4-JbR|78t{+irIT|Q!f(ud z&7c@z6Yw6hVH?s(Q0=i8DJv@@-miU%x3RI&($_EfQkYDdY?AlTe1z0?`IXik;5?bB zJJXyc#iJ)d&&<)iZzG9|_Ys|HZ*IgpPio=gN|KXRblHr<;@mGrn22AZXL3=1duU~A ztCN^~^+p=+Q5Gl6n!Vb1(B0in;vIc`>2m1wMquDluy$VwIhHIsI2WraMW!k2ZXqKa4KB94=jr-|<7}y(M z|7&2)mJ`zq=1am+p1KpTT(F*jO_I0)UYjF*ool6{-czjUB%+OTI?OvVNymw8g?zP@ z9DM;Pq2q+4UugryA6fJlqx+&M9nU`SW?rJVwzNbi5|O>ln{7MqI}M_ngEA#??q}&& zTga)GK3*&&hVW*}(_1C!dhs5|UJo>9UEs;&qv$H6Wy{cij613IYc&%`O|}EGooa8L z=lH>9vVFyNkD;0bE}mDT$?;2U%<0Qsq(N(#Ge<7t8G&IL6CQhC_am|1;x86SDvG5$ zO!zSa*M7n^Q|!H9Chxx7bnTfHuQTD58R#=DnMcLYEg|6Tl@dMWtjP*pF z998e<{Qdj)b;_iKP0mtwO*>hY@g5$Nk$bvWx1cSwo6kB z(EF+SbDHy%sj1E}16%#tKo+Cj2DfGGOl`ZY>SDM@N48y1`-KTsd!ZQ}K)YlI=rcJs z%Q@0Q(WTC-hl8s4er)|z?49mq3j4|9_}=ir+~Ai8aC*es9;Iy#!%`D$3pZn)2x%+w-rhITS|%SIaQ{ zSdDzik6gF+e6}xV({Dwp?2c|;clO&?^Hy-6g?6v+q$llZXGfO#x3jWF%>ST%TBV}QbzOv@`&X`lxRX$`zntB|sMrYYG z(W`Ocgl8a;={e{1&kGL)a(A|`{t$b27IQgB(=FjxM%V zAJ+)^ClvBJtfZ*T!uiHaSxd|EiA2Ri&3myc*n zRO^hMdWG1NKub8Dx37TZsjbRieBEZ_Wbfg%*G^??61iAHP)6kyt6>9MC)|GA;CV(G$H}2aS{~gcuLpShE56QwM|w zxDw`9M_<>Vt2r7t)*n_94TSTV0VZ(_?s$pvPMQrJZ@Sefx8b$vxE)IUm+Rkveup3M z)`z_h(+ZQ%&oMFeNAdiwXm>$}8FLz@uS8Hw{b@HvRF23D%2L3(nwiWW)G}W^!2^InMPqxuCRJK~^@HIz>fP z5j1lzF_xv#4VV3@ChRpXq(F74+k;6FYkB!WPC35$-B+jY3^gZjY-{}(Z5p{F2mce37_v3Uh{{U2v5<6C|)5$Y*_Z=AYiCitQC&x2@& zwd_a$tJxF{)=4!*_&idjJWn~_9`IJ+QagDt7;R!y zTamT)e3|Qv4VUB}x4z``7@aA*wUQUG#^5oKr{iP`d#Be@j*Jtz;!3hAg|lKhn+@-4 zNGx0$Ow0B$7Rc0hUDQf;8c8r2t&5h_cN{12IF7oe+(fe1atE5T=}NR_$$_jt8WWlNFOxq6H?1=q29k zMg9$7l2T9lrSD_cpbrG1mtbe%xVz&!XILeUwzNJnU=G)uH_p7*U>p$$4pB_CwY3O5 zSgV1+P+rLkht=>K&&0FF1xLIu7V43VjsTF|7i^l+(2&~P$yPvyQim??lE@F_9 zXz8-}MS#$cff*7Uz0j#z+}sA=qd?4~BMlaJ^+dgYie-(1ZFQkM5?OJEm7V)P@V1YS zVpi|Zp9dfMot#ym(!1oIh5vHd4F1ECm4~{2dUZ1qBD2 zMhu!7JG3Y;t3L{c?^Z9YS@vYcW!Et1JOuZJbKo|^A0h549~{*F-sYdwS_sa$+?r

@V)21TOR@NxW@H(!p#Uj3lW0E=-RH7l|>)g@bL#QB+b zUoVFTZP<~sxBqp@+UzHv6NfbSvgWfO_<|kf66cS;HP!Tg5CLN-=sT0+p~wbFP)&_W zc44mJ!4xh&r7-ov->tK(EP*rOv3It zUa-)ygA ztZZz*KcwA#?|7j=p5&}>#ji>kH$GYQtKr)xzr#3kf?*a%LTRvimB9h z_R>^;a(g`!ekSg%)lXfqaR2kPnzmaJ=1{dQlhq4;osQIA(K*8sb`|tBVf0Tvk=RT( zYLsEA`BOepf*)Z%3Ay4R(bl{BkXsu zfa}CdNG^=HdF$dIXig26h+Q@z+3F`ZZ-R;6b93_x;`B8BukCrd##1?8@0>fUKo19> zGmDXp_rwR@u*$)n%yLq?;T z8=DOUvhKEQ#0#iR?a%wo=5r{x_8?0j0--9KE&Q*if(T!GPGc*Pu|k~Fr_xvid=^zU zIF7{OWqR#ir6HoCS)(V~hYT{PSNh@95Y52U<9;lGf9ZXT5z$sr#DTpcGV~zv;3aa^ zjPJuI&ACEivx1!58!X!0!g3^UtC>pw>)7HdD$LXBcOw*F zTNXWDi@){&HS#SsN8xx*s0A3^MKMAACm!6Im{3#ZLir=H(B6J*wP>M{M0939b|uEM z-oR|C!i~zY>N=;Aeo2Aelry>HaW%$M_=+t3+jxT#<`D{`(_U6UU~Yr{Mi(& z6*wtvV+Wi@7+jMVFJ2(<915*jQgm)#^=@}jG5S?L*`rco@BW|mzC0Z2HVju^i%RG# zNk}V-NcLSt$}Y@cWXsxEM)qB$D3Z^<6H<(2vJ7F6B#kx8%-CfcB>TSQJoBA%uB)%} z-?^^y-eFC#g?E%!oqZnuhbU$i_F>M z`8V5bSw?2c>OVr)%dP%O4=v{nFc*YLC1$q)Yr|8v088FjnppT=yO%hA`}XZ?lJS0H zTC!ZX&qw@2x~vsI4X9pC!c;#7``df|wzdZ&_#^VhEehlFP;l(Pk%9KJKfK{W`S$nk zXGiufyZy1S;nCggZZpCm|UyM#{%7xqPuv(Q-w_L(y5T%wkEHOG8aOmM;s zI>D|sLB!_bt~h`i;9gt!rC1qJ=}g-PoK}At5*;KX?z{%a%lxH$HJ#ky8+%(*WOxnv zSwm?}dWuOn2NiVBTn|uSOj(Zns;a7*Jm`8wMWF9A2aSF7Dd0?1)%734m}b>}Ca^pi zv=I(Va2o#f?8)B5w18h;WQ@&hb%sHH|9FWa>Rz>P`S-J#dID0@+E`Y{vnjl94vYff zNezJqC6P@D@hXKHcD0E^IeSh%tW;rkSMz0lCOuwgaAg`l++==)nORK`EEeZsu&`K! zN`j%QdpBPZwb^2&;UVLro2 zL6#N*crKr4B0$HBK0=L;!ylS~K@c{wiJ6)CLjOr*j8~itM{Fa3?J2gIAI}6#MEaVc ztL59!>Y84Y)dn{XA8kE2SF>A<7QtXJxQu50i8;^mV)LBlt&NGa2`dwBL-}Ilv@bu5 zHMff`U(6>M{ck@VkumAccKBvIOCG)}p{!@%rHD5&Xi%uTA(o8z9J+noUx9v zD-U3<7g-G5PwCfu-R}d2A8!O1-qHkpvT)?IX#(+ zxyCwp)fwJ~hKBYrbR(AALm{D$$S=CU$A0P*kwXuOQgbXg#l_XiF)5&*pCp0F7#J$} zKt{I)IWHRIOpIG!%9+aq-#<+%qE9fVncwtqtn zrEfg`;n<`+_%-WU7C2*5LCr6Ly4QQz0w3DC|FF%3Y_hT6E zRd}u9?-sH(l$A3;7thi0%ihsI*UGmexA_oT6tjuF%-~v?=OGjw z@a7c1U4gWCa~GK(8_W7Zy}&h}zL37?K$CDE>F9$?8|*iZcHjv?&sO`x1`zku2$Z|m z*u!{mWF^;|gL7e@P%&>v=%rrniLV|vju!PI&J^h5nH1Ci$)f<0#XyPi4Ku;%-{X%_ zy@8`Z0?$Z^oqa#)>V;Pw*u0RS{>fI3!#q)! zamMvb)IF>RnmkT<43|!cCtLI0(>#g#l(iJpV-Nq3dQB5cIgMhG36$N3hUgq3L8nK% z^_N0fEcb)UQrU0BoAh-Y92}69W0r&3m)@XHgF)O+PHBDVU~CJe9RGCd2^QttJMJV| zw0X%{n1*|U$jmiMpyUvEXUkCy8*3!{bXEH z-lB`GH5J6Z_#O3KG>R*lIo2An<0VojQ@m_Oi$h$p`%y9HV<hBZL`WhdWt%AdpEll$7(|14L+MTJG ziN_}BL@VZ=TbjK#SDS6Fcb5!)OlvUxQ!(=GJiQ_O^uvMMXi$&AFw@&MD3LDx?q!&Cc)n?5!cyu@Yk6EXjav%6q zbwA{^+&xp%G<`T(#Uz)ACADN1%IA@?9iAd{1-vi*1IV{&)8cz1#4zHaD^O3z#*)x2 zSW<_M_XHnFaKYpP|1%Q$R+yAUpKWO_8v2FLZ&~qB6V|1OT}|z z^UGZ)fXG87EpF{_2bwrI2sE>bsH4W$#6{Q`g&3^6_Zbmel`D+D1kZGc#lAWS3q{DN zc{0Rf&wqkHERl#O)pIQFN!BIifytlzoxIN zFo&vuAzqy|Dg*W8?CXL8CU_yO3Xa*^G~kb1e1C8(KCbLk=OIbOQkVVV+#R>L$zU^J^JJ(&{}$cmk9HC!}$&;aUeQoVsu zHTF#vo09_v7DnlMz}mcATx@l9r&Nsa8{XFv^z#sQ*)a%!jv8CiRhQtC`?|Xirrdfb zxr7Y)_mAh#xic;;sg8@48xGnm2&m-i*fhf$f41nBldE*aiYnmF3Z@sfj*iG=Fa4Y1 zS7N=~q)$U3NC=Z0unK%i?Xd~Vusfphy4ELzW)9^zNJQ@9cw7}jx_hI zhXSXBue#@v+NUASefPYFxZ(f4432arxQev%UBn;nF1FEXr~K^2tC6?HRI_v@U#z^@ zmAlUt;fu@4hVoA_I*k2xduONavKU|)CXuMo*oXE*)y5Ai$J7Z_a$-T!7svrZtChFq zD@Tk&dJ$7ILdC|j))L^yZIxfw>`v_2m?*FbsH_=#>g6a<9`~Zv_$_4Ewl;=G_YqO5 zy``ry82uCASvubck=OYkyU#AM4@tOzP1O03jzAS9X#~?MYf}~xcGRu+^T_zK)K9#zxxifNjw@5^m}XT;UNLH;vE)AZ8sdTGxeV0 z?jos}wmLVwI-T94ABFd&`dLJiH%T~ugwscJa9;ejHn~J@QRTQpbO0T4&z%(t1Ct}I zkLixRCQE;{Dyi`Z2dHCo7h3G6mtf+Qd^8{Q9=qpJKk7oz-vl7tL&ZI^sb>BY!#sZD za6ys|UU+Zc&db7hH7+gb590?U2LiSkdXLXQ{JxBh;qVSWqhK=M(Q~zG`52d|?AITj z`Ja83sio7eW|*LrhfnIC^NyM2DbD!5FE1?);Ho#VV@2@o>kFe^$M2%;$`kK-PG!Yi zjDsSj=V+LOkTtteBq~*mi&M(^jctDa9=h_rpspJnZ3?@Du_Es(u7>b44bROjZ()LU zQr?cmUgQecen=dLda6ml&I+ORpI*1@zVtf4l=#Z?Na%X#6hn|2?c>%_osPP-k8zL! z5*8czv1qH=N)h@cXZpHZKop?0k;LVZA?aN@kbMjE;uUr`+7JPzP%10;ngQ*IR+&qR zCDtwM1Q-^mxg;?%bIHbgXa~V;Wu#~3(nI8GQ;mzQ!^WU-G`|U;7JBhFy>9FC!%u8l zVlFJPN{{ANR&u~$MxT}!!x6L6XU&lf3iRW4Id1E{cDUO65!HE{Z~^CJ&tKxYm;0qT zSDfP&oANw03B%e#_~l-MML{7ElY|+C8)rp?jGrg(IJ9gS)E=q=6hjPiUe&<_nhd|# z;i_O^Y(Wzm){H6#Jst2qHJ#}jb%9S3^fTLO_x*!Ibv~Bt9M!Aa{YrHk6UU&}T55mR-Mg$rQGOJBCL%91&9TaCW@oM64x1pN=4*hQj z-V&N~T@`vFZ7)kZ#!I&<|Pxp!9=MAGkasV0K_dWKsrX#22$-DjHL;jx8 z_IdP$2I{Mtw=&z!1h~kHr~Et}DK4NgOM8F){r7z{Q>e@@?RltnTfNl?uX6lfRJzo@ z4bJls2f;M~pa8HJfeyD*w6?lXY!(Td&;e?74T?qpj==!}R?$9(wlXWX#pnXX!dQ{1r$(d7{ag*5eW{uh z*a;1atr`6{noKjsudA8lJ4s&Qw|}E^dD68a52bSZn3kj8F|KfWD8B>do1dRgTPE%6 zdKO3nT^;@e^$d;9AdVmoTaA*DLH@9LKac(7mqxk+E#=#tJC5IZul{m3ce?6){a-%F ztlgPXwa&cSwhI3sXdd;f8gvaFo@~#|9!NXwnRHA8Dj58N@42H?*p3`%wzcV)5iVsf z#04Y`~*qf(}pOUlU2WdKzxr1y2HwXMjlvSg!NM~l;?Vi+(032K`N z)O=S5yQ~xV*eXffDn^Ta;W$A;ca~a_iadG>@#g$+Pl1&O4Ty^Qun}fsFwl(1*mrBLTvB-w%n6ty^amy83Hh^Z*&)=_x)q6Hm%%L$_kYV zAcWrpscE9+CrAM1SNkyU+BjM5#6GiI;_3+Q4+cDcM7%RAG=NV7)oO5sEwrNu!lwz# zX&1a@r7JA^599Cx?xftclr3fJ^44? z^8;m=ZsI6)KC6jkBhR$$lXauQmpd6nmV*13y5C@5(Ml0SBulEPA^9qs=;BZ znIOlI;Nj>fnBtY@IdX^=G}O|(Jdiv~n=SsbWz$m7CFq)eKSAFy2)m3iH=*MBfYMNu zZoq$!L-H5| z!={eg#Abp9LjnbQM3&lad~<{P2Iuql&zt!XYLtvREr}h`DyIE6K7vjRD-%<c`ESh> zSwV|+*!8n?neES0Q@P+)7GZ@kw~Eajs|O#_c~{A&;NpZKY-)!o(*(|)B#-2hKs#k^ zl%|B}I>a4%WEY}$dOu+{C^=UfNWTF6vjTj&d)Sjqg}($=7trQMyXuUX~4@@nSsN0IpHV8R`fk8f0D8` z0ghTalP}#^^Y8)$jdni{68RW?bPT!3;7a7QQ;r=XQuAFPAPRV<(S;8`ABV;0<%*DB z=8K`R`>HAzrvt%+zU$`0#PkEG*WlN_T54k`T|Ly>t|%UlsW zQHQ*%s?X#3i1y+@Cq7|M5!lJkE9MpMgI9mXQt$AI^9DPN>>GVHJ4-c9UJcP9UPmz zND9&hE@Koidhl^fB{HiwM&{tzcjVQt?oV%~7g2t^Sb^HZ<-_Ss=RA&H*Q}(~LXIZN z9DLt_zRVa@9!PiJHmOTjBffw>{G{dT7qiD{9_B>B~WqRMPnFHL>c zo8>B`_tQ6_g&NWo2_Wy#yZR#pBo>)-sOlyjxmbH3^g&!lt#+aA1M#F26de&ZJ|@Y7 zPqbH2_%2R5ZQ{RR7DPV&j)MLhbmjPHCW7#ipay_;mQ;kUnuE9{)z}y6d{E!J zA7x)(l%7~@(nbE4T^BWi0;$(zcL4-7-%>of6Jvm-L6NyR2*w0`4LD?aWZBfITAPUV zINf%o|1|s_i)tn?Q_L^1pdxIR1odO}QMv42yy`g`B|cQd&%hUR@87zt zx!mDAqJ$Yguq<4c&GOKuC_be|a-RDgQaC|LlC!`-Kuo4!Jexa+j?M~)P&p8|=Rn{& ze#?_k9i!OhqCfY*Y{;uPO#;mH@xzGO}RltoxN) z@K)D#^(-AoqagDNl`jH&ACS+PT-gliIws>&j~2K^ua(*U(M;(2yUwhuMo~um>|ugL zKiGX3Un*ZHLFHTK12kq5Oj61vy``jgt#Ow^sY}4S?4S+>B&Kc~o`z7~}hw-^O(?St%oe<)D-^3(YEH zi-0;7Tx)g-1^W9}RBVbO@qFc4tbH*X9BDlTp0d@JYZOtoBXC^WPZ`#sGy>_5{<`-N zo(2Co@2+jy6-SQHd~WOPoA}S}a3qSxMZ3S~d2bMOtgJ38d&Q!KFd|=`SPGMHP^ZV~ zGUc2I#?6ZMSHIi3MPs^J3}vh&x3|bV-7UUcOVFdbH4m>xBjS|<=ug%ekSK|i(gVuS zh2Vmx@~X3Ic$PIvbdn-}JBIEbt|oqu%2;XIL7e3Du?etxl5{J7DgPRoZsdbG-r6K` zVXf|k2}(10GJl&%H=AYw|VbiVG>_yN)UW<_IhUf8;^LRrSOials|Z! z8CMvO=W){lvLxW$h`F=-Z``5JamEA#0KJp8?#F%XMUm?V=NgOxr+U>8ueunudk!I7dF>t`~K!D6m1=qf!& zDo~|4^K2S3^8e8@lOGP-NPBvETmw`)|Z4&Hrc5{O?Nve-awi`2YMBzm2z&lAe|4 zl=bhw^Lz#$OyU3k%>NpJ|Etl+;G0<55c>D5xR7bT3*00sl?sZ{0iq7%hsC_V4=z?S zFfec(bQFeHy!Sw|$_1dH=k`KFI%QhlZ;SrG0a`KtzP~>gotY3@#|&i(xu%y5S^zrR z$It%fa|9t37J!_ZgE)agp`5nY7Z7qi8zgO-NmBC?GmOqsAg5;_T9 zWm`6<*x2su2Kf2OnfR?By1Kf))5sYG#+3)?K6tP2rFxFMh@4xg+1;vPchrcG;dEsey~wEfdY!3f`Xp>2};_wnHaIkxX0Y{Jl* zET!udd6EvGgx1t8okAZvy1#u?ly2zB8AY^#bw|f;4ItG3Fu-|PcBM;q3J7p#f%MwG z1{9^K7kBTPnhu5RY6|z&V20$oXWU_Lr9n7T4Sw=x?iY4r2Lz@58`JbY)7V-d#;Haw zsx^CmKJD_-U?mg_7k6FaqJ$L`!a?tM#bDMaPszK=WO1prt?k{e>Ct_*qPZT@(Q%GE z|M?Z)d+~N`TwJkLzR($ROJ4u>ZF(r*(b2^T8sCKW9l+&)h0)oRXIT7pObIi45tH74 zOaypVU0t1yPVnA`N1)qK-1G8`|N6NqbvrwLsM%l##Q(hFkIxuR9+rPcneMcC`D4Yq zq_ou9So%Y<`_JyjYH@GTN2I=^1KiV!lX=+c`DgEYg!baeY} zJgUE63(Ky(r^j&K53~bm;`6o8YB%LN^6aF^*NR}ri^pyR1Sq_H_wMh|`m+cBg3cf) zqCo8>%7b;Gzn^n+a}yAc2z8#$aE2$30DFKDd83dS4eZXBFJD5F*M(y*z7JOU7`DO7 ztE;Pd4UiB*HI#PKsZ?v?np@=nkk$@EB)ixaBzWuSzAh5U9I%dCbJu>tvT+mc!?oLL z8ySVtiYD7HGeFJH7w$1AVt~1;r1RJvvK;_-2M!zn3ds{Qq4?CJWLr@HRt#$V<=kg_ z@!2AT0Y{0TprG%dzQ+{Y>Cisq3P50Yk(Jt}4*}GPVdd_H9z3|2oYJn(!3q8M2c6UA z@`EExOjz%k(5uzYK_gyGeobL_N#O(F!J9)+4w3ZVmVET=5P_yp482m3xS846FA*1R zckD792wZR5-PTgdY=4plkmUC1Ac$Hq=`Enh#d6)EEI45i6#8gNM!xSaKlf z2NIC{o8X+qYvQ|5pAq##2iU;!yW1{DjvR@;=id2NL(+Bf=&w1jF#_z#Fnp<_<@evI zM5lcFHloJe(o$)00lfUghzwVfH4@c%9)kVG_Ck2T6-grhO2X&juuv7AC^mL>on@(U z;P?Q4xyk2V>qI1twzfFl6xpVsuFjG&c7o!SzPgveMtdSP#}8EXf$>UH=cX}s)if9X zVz6P|oze>U)YTRJv$Py^%s?c(h{vmbZW=VeiHT$T9}$Tvf8;WI47#j5SG=pnMs=dn z0N)%6*p-vtS?!go{N~Y2qjrFtm|vClcwlVKcR=TC4Wk zD*9Cg4AjWAGy$Xst$btKrS&%%CM{GFyuv+PUGJFiq4N9H6hp-w`wfs$V>I zcg0YSiy78YsNnH~`{sXb&4CK9K#z3Cf>!MB%iPvn#|@xUpxuI%SzUAU5nyXY;31?i zg4;SH-O+t}U|Zr4w}+K$=;{|(`v|b9_!jF)h^J*`6B?;dM<%S0jo8kd35I4#Ai;h8 z`ut}Ty@$K|2>f^fV6Q_X>hRJAC>JV>kvxAEHWYDn0?N~44=bi>tfvY31ZlKmi2nd# ztET2~zEA>Q;eO&FL%?s~%ZL=(Bn6lhc*H@_*a=_Jk|Y5v;Ho0~<4_3ihM?VSEdm~J z*4EbFhKAD0`r%jf_VymGZUYu3gXUr>9-ofKpMY~V+{U%p0UD`G)nELNd$1GLIi;R< zOVg>1mSmm{i}+&Jn4h@?S2Im1odp*Q+hS>KGw;tP2CJuGV3 zU+benY)1^+T3TC2pwMX;9wV)*j~+q3>m2@;u1Jd;gD%I9AE!-a7)b^U{kF@0whfd# z@Fi$YCsrDZ$V%8IXsmZk28%)bJvmr{FeyIdr4^Q!pW@X_{`hz={UUAN^XnHj$v2EX z1Xe$xAq`3paG7SqI^9q-1XN9Mz50*63B?1UhX$`}>*^N&=u5nF(dkE5&J&w63cK4& zo?{WWV`r959XY@$=|l%#bIJRRWe#!3Q`W?3!&a1U!!zg53@zTtxaWPhs{LbwDmfx8 zBLm`eciFxUmdXS_MHt2RLOtwKrF`kN>`*p2z0F{E=Pjcj4wep&tkhw6vg^nVA{c20D

vkT|=7P4di>#zO%dv8;ATiJJXQHNqm0Fm~(#&ZU(mp@N*$> z-jOeZq)m3=^KnFvVCsMKFQwcLp!`3su9hcGz&`WLCe`aLx(_)e;vGeNGdB8veB=_O z$)k|0Mz%Q&Ri6fxFMC88uH$&sg$oxV!(IM3dd7aHaiqcdKt-N1Fc3y7L{Cn`IUckf zAsGyD$m~+W6sk$siFW7~feyH3{^Q4ce4%jMkrtT5@%xeRt`|gJA}xu0sXU5BPeT*H zJS6Y%u_V&7x?pDTPA5)4Bi%f(jLq!rkAPF%le7PpZeWichWtfOCW|M%ZdeFt_h>u2 zrBo62FbF|8dVJFh;Fow(u5trR6C_=z>a`PI%2)sM)u-Ud`W=2ljPESXvxQ66^Zj`R zkJ+bhIDrD(09^NrX?-6aUhrQCHDyU2^8;c$*cP0Itl`bv?IhJKA!+Flk3lbbkQwK< z9)JKi+^MC|;v>t+G)l|dK}dEn6#^G%#(9OWt*ihM`y^1rr(ySc6|g;e<`%Elo%WH`*&rxgRG3cwsco_t$pXW{7~SW0X_BF2D^ z2Z1=uA>qhU_0DnD=Y-4Tw{!M`gi}B-{RAy9A$olKp<)492?S`Whb1K?S9-+1 z{DCPP0?Sacg^p`Q@JTW#C{Jw-@?^L1+7bsCUtNxt-PY5qKF48#;(!6 zYKQM7%oSEvR*p^BVK7>|y91RT3q;`n2MNJ z?^g%A7%oU!802Z^XW6A@k)qxdNJ}B71dV|6$6madi^}Vq`tBt8t-XB@;KVSoX+n)& zIH;ujHylA@N3yir;i#x6uQtdyn6B1rI}@As@3MWqe~tD6Z0cTZ__DH52$06trZ2M` z9^P3SYG`g&A9R5wxDJj|=j=Wi9QtEpK^Q;=1Q>yksOY|$p>Q|?!33ctgGFf*puY;rf87;sxfxAX{I!2fr3^k1IA78jEr#I_MA>aWpnuN~ zpFc;Y!p=!MYR^3^?m2Q=pZWKn`FDFG?eGsh3lPq)%$377|Ht+IjR4PyhWgNx*Ff=H z>HJ-%@;euwK#8BFf?*Dp>>t^HlAa?ZX|(REe#fZ@9(d| z;}!60DQz#^A0WwjKA>8@&!+6seB@xOPW>hEN5p&H*laIu0mpZ^|GLW+a4?jG&D|D* zY4g6vd+^CelInjZsh$MS7K@bdW9}0i^d9Isp^~r5k#e-igve2SvK{CWL@U zCj_K~YT!=d`~B-*>)u(gW|EoAJmoy+?6c3F56`uf$w}!*K_C#hs)~{>2t*tJ0$r&h zxdwc*$VSr%?5?}37<++0T<`Gzm*Nc07eS!=AXO!KeZSc)ly8s$7T2?T)W{>nBE`bW z`Xp3PDf|;B35`D0QHd$q)upbkvU0NCq_Wbmyxp-d2U#!gi?w9O5mRoQcH6?El;Df4+Hu|N7tdB$Q>H=zkwTp&+Z=tN2ehX`O9z zzEv=#Y05UXgl}fqHnv`ScL{&y7m3oy4gA5CR2D9YZ>5&E$RkM)YmL_u^k*y#ao_~cy?%1GZNN2t&1x$W4_YE|HB7jLObpc%l8f39E(*`M+};#ZS6Vau9F z+$!CT{6LC^M3c`Dc{ZLgud?+hJIua?bSX!ak8Vt5k2$)`=oI{}PS-lRcl%Zu*V4GY z-gF6EY}L0Z%aS%-GPr@Y)I$56TE7&t7bGC>L8oG^2>eAIHm0S7?cI#Dq-3dLFV7vS)QnejIJqZ*(FH0=_iTt9!SGEI_9Klb!g4> z%~y^K_jkYZI*~3$22RuM3nvQN?e$HXpB-t#`HjUDNz41nxm4?_ z4}_LoXsmZP*9%Ob22^ZuDLF~B;@=d*Ah>rm*f6hHqZjtii;<|4&UYpVhhiqbRYmBD z8PmHffCYzY5mw>VPgd+j=us&F9R&@gxOzFqAA+sN9d!XAlh1H_U#G3z+)r_`{?g#V ztVholbLGowq$KCM1XjBLHuP~jXJgA;kp0!AwF27ry4RKE(VU)eE;AIw|i z`jYPZGI=Xzh@x3;;YE{Hk_a}E?1s+i=NFnesks^aY2^uV%<#t{2lncI{wp&*8@_Uq zisTe2+5WgY&{i&U(ekHjKQbzSIkX=|rJxhhOgc8+xO4MtKHI0^!~^r|6KCb3_05>E z$k=8>7sIIFv;22Wki}@GNg*EAPK)SHcfANcW2+Uw{t2P-05br_vl$s$&di=w7|ul zN!%ukfw{0J#J7|^Msu%3DN;1X-AIXKa{Ukst2H=O!O&3La2StVn@99*qx zQ0D{wZuXwk)5%^5DWFCho~xR`?y&Xqix649jlaEp$?xC4g?+YOKo4i^W^3%UGjL=1 zbO!oXdSOTnOOF?3L8lPh;%bexC^lGf_p!F5$;0v65G>;`)`=az2tc&a;tqE}peLX- z5fQzRM$8-8cP)e6u_|MFM=x{Z@0XkJAUEL|MJ!H+l-!Hf zFNKDcBE+9tuhYlo2&pA>7i})=1XVeOoV{t8-!5K?i6u?w+}N&t+yr-RUeyOY%&gAy zvH{n?qRyU0&p=6XtKl>`W7xrIgj3z4;^#dY8b*$~5Cqozbi?+ka`>j5(cse4xfq>C zm|>)}*Q$n%jZNKkf72VJq+=gAvP)`fYwMX0$#R~3cOSB10Pn{RjI|;%QN{6ynPVNr zy7lb=YU`!uP5jV|tk%e}0eccMRgaGWTZok23DuwD^ml~xAV=CmduW_#yElTn94+GH zSd^FlZMIS@L&L=Hw+;}zbbY`c5mrj!#0W^U?CI}4iek#`sLC@}H$SzParH-~T+!Z* zGA_wt+NCc|X7)cF#T23;eFE8IZWo93bFOjDsH@br395<@6!cAud2)u=5KDKM@8tSF zu3=TBltXB2Utu!!<)x_^lH9PDFmtUueqg$@bE9)OTdZ*am-j&7pob&5cM*afdmKC2 z@UgEYRqB=_mYcl*b5N_y=&j!jLOcw&TyI#4)zi?>$XNMcIV78dzPk>${4g4@d>AE( zgDJQ%$cP&F&ihF|#mA>mLy`ahdtClLT$Krb|JkBF#`l~{PlUy*WTCqzT{FOK(pM-$ z(?rlop&2_K*Z(|7)Sb*D=8y(f@%^L{i_WP;xY7M zRcp-K8tjaLTVI6gHZz6kW!c_Iaq&|`nZJZqD=0b#)9?I#_gGj`=HoX!1bVW+aG4Y6 zaYsjrsIcK$meAzR()mH;NihAut4HW8YRyG+<#Dl`M+k@6)h-@U2;G4Vh1=G-KMZX-3iXm*>II{`tN z^=)knJ!e)e@C#K}tbne$Asl315zCY@yAdqvq({7;XJl0RsXB_F9 z%axhF1dby~s<_C=u@as9S=r)Fk^qnO7&Y~gwBX>%3^GN;%OR>izz~2&_9Q;s2KHn@ z#o2=M0(zp%_J_3^?LOB-S|6Er*@&cj=!e68KQK);<+J5Wm64Z_I&yRZs}`S8ejxkE zijn@+(%5>=HZ4d6n64E^%*Y*I^D1M##|Fk$({f<&3??|_?~DX#wei6ZbU8AM*iYLb zi9rkT+v*_z`d0S*L;y!Ulq#hvAmf+Cbli1<+ctD@7dHR^V((`Q@(*M>`JIJ{Z&~mG zcKhPQ-{fx`^U+2s`3Dk|5i%0ILw}E{;^c8p9}puW1*%Px1;A}!7-akJP_dh;lIvyS#P&%i%1`n5}fc!UeRJ0LuZ)WaL z^f!3fMem+Ttm1+A{pZI2OvHEWixuUNKp0JpR;r}h4t#b!Ww%8(XWs0jvP$COYL;kV z-ucODF|5FNT!@;QDX-Yv6t&`Shx1UIrOZBcXtn_$Y7=fz3>cXm&jn^PA)^H;QS}Aq znZowgh8EQ6N{*e=rvFjN*F{Hv1^^P=+SeyG~|qSV0C>_78fV?(F@Z=in}JAwGL; z*4TRM_U*jHL@H`3VeikT8nQr=Q0A4+B}{=jn~DzGZ=+_gp7cmic05^|p}GeQSjVln zSVEwW&%S+E&`JP2Dva{j*B^vIR@(-4wlWS8k0 zIU&_C?A;%mzT}KrzUsX^B3WxkOYr)Q)j)ICQWwu4eR#KXm5bUd2z+N4@F6O&qFClC zF=m|3K>Jf)bN;xV@{&I{0n}H7^Z-)4^FPP88|RqJytg%MwxyKN zHXSz5G|R90J6MBHsIVA*(;8!hSzy^%cz6G+N4|7aM?I%omy-;pxcDVs-s}8bTYUG( z>#VA1wur5#S1_7is@zmvJ$AJA`UWih^6`+uvDd2RXhe?lrccY?Z$dJ-Sx0;((S$B~ z44H8m?p?HYT(lTCUkiBkD(}bYx%UD)8(Uo?lQsK#SMD9_8cuF$R*QKAFuTBdxP?z^ z$spm@4Br>}2 zkD(eFUKpR1tsRl9kRxCjtiQICwMWPb|13%p_^Zn?NSnW2^4VuiWV?64+yub2no}Oi zaT9Nh@62itLkp_Y4~chncXx42!?rbC+}vuDlarWkVv71O53Q51lQu$TcIoUSir@vE z0l2?nfF*YM3)`b>kDHNxx}WC7u@T*vkUoSu^Ek?N@i)jagw|=o4mzXOdYxq(+N)w2 zKNb}4H0rV^kh$+J9Hm;dW}Ajd^YPq<2f0PAA+QHGL8Os96u*Ba z1v5Y9Xjd}bj}&2lsJd@!yRnaLoHf-!=0lkSd`hLk@aku*=!KBR`f)Bvm#K42t%PKT z{Iv^69S}56cVz?|2F0YBP<9HokAlMgz>u;pm2Bv$C>0IT-x>C|XeV?InVMkGVC z3EgAYFGvRcJ1Ht6{<4*+x$&WIe}k~heh&{dBlVPx3x{1Hw~Kv+4|S3g)JMK}sjc-d zz3Q06nZjbW9{?~;ouO5*-}$xfLpm+Jb#m`x-v1e{tv#nBrsdq>i})>b3vYv$uj`2c zxb|u&-fb;c2)DD*C+6_FqZ}p2Wc3jo1D8o`0}Ajl{DD z-dGB@?x&lHci1_-{N6aC>9HBg^PA&8oy0H`W^sH|W^%H6HN`Pg7ul@_JneI3W`W#P z)^*&E_!Sbm3pP8o#Wr3S%Z*6jeb+p=Eq8!_1?aUN;2Z!DPzDel{e0_f$e(Nb8&&NB zQ-M*ghVe0RY?bfc9Q2Nil*e@G8T%RZB?6hRJ?&E5fgi;Cgmf(Q&sUC& zgBqT-Gw7Uv=w9|s#tH&tk^$>8g)&V7Kx_>-uM}xIZMoz3amR`_oR{Vz zNTX)PS@|U{R;?nz80_B)W-E%_gfv&vrA)cNSFigDE+7ouCgS+B(MKL~fOGZsAtu6; zjv&?W;huY6fCGwR`rr!zKGT{;B!=k%RVe8_b+vu|uuCpP*E}d=8vY2Nv#4%6kI(O= z`;1>N20ZT)w(N63+2G!<`{lQ-9s&cXUyCS1?kbaOKi`UX_ivTORF>%YxOz12%N4Od z9k3R1&~~WH2GA>%Al1JIp7F@@G+RxGB%(&^P5Pot&Z-?aR~?Y zey53_5nQFjVX7bM)JVMg1j)=GqfdkH)qMK&BqwNW!V>)aPQh07XL<7;mKElHYauQk z9(7-ow^1`eIM+_Jnxm@e#PR@p1R5_m6$o^N6;YvGbr!gn3AMRkH=nQ(|D0 z-Ia3l?*jJt87mB1HgA_pHmcI{AR-beD?pFowWAVoVY6!EO<&HH;kDI4{~3nLE^A?*uR z7+&saI8#tq-n9mRB`GQ&N}Iv>tS$VF^Fd8@SgiUquRtWFGTUa+*s}R~m5&s!0fG*< z`Vn!^AuzOa^aAONnPh}Y%Sqs^i_GvUfm*fR-NULyMoqxsrXy>QA`cBW(xq~Y3@WqU zToX?jco-O#pa43f4QEm9@9P`IAQq~QkNvv3ySaIJH7Ft!MMOlnxw&-BT8?`rC8mZZi5jC#U$=eJA)K zE=aKo56BD*r}b3uPZ0;n3*h;MQw!{~N@T4b;^iZ40Kl@>l%gkI0Vd7_M49>Xg#iB+ zFewR0ya31><;`7wOjjBWP5X0bJ8ZOVYsh;P;x9|C_<0{btb7R%zCT_0*LX*_rz4l)1f}@G*`@8XxEA3-}ztKf4j(mL*g!cM7i*@ zDLhOx)Fbpg5qwieq=g*&gkbcrkm8U^r+h@~~qy!gDVow;Sbwojf3b}fu zj+$<5J%-l-5xkOO%5^(bvD>!Bn|4nPHsfsgg40}@(6_6ygfhtiQk@da5iwpV#pJIJ z#1^XmhF-jvFhnD69A?;?PeJZK3vC&B$`_MR95~F3uO!OVEhga=;YOF42$Ki0cz*2a zra!Rarl!V8f*%u$=Z|&p=ZBqz5N=ry;)Xw^{=4u=C}EIE?t67_jmYr_>WM}b4_jHYCv$z@91{SWsr)2lAOINR z{70`ovim_HgroJkipC2?xYd8M9@`!!jmplaVCzkx4klc)-GRO^G|_S-KjvaqnIsi-{n_7-`Ec>~OVvvY+8d%~-4gXunyx8~j6 zO@%A;PF#Y74$}T1jLNmocg}9^Rgt@#hLpA1A@3X>nnN0lA11sxl^KeJu27PbPmbg& zayj2+porKyEaLE2iSHA@rm!}5s(TRTwtvHC0F8A}u|9*$Y(UEMnzF-Y zm0c00V7L*$nX}(nnEq#6;$!%vX+)cms71z@csl5Y^PvUBw zwDojf8k@n@X|$$$CysrP@DtkzTQi?_{J-Z7HEa|yc)Vg@n`0S+-t}%qzHNa`jEp?_ z-{aiz%i-V_ivUIOs_Xn}Jc4Hs=%Sv~Q4){|>cK0gcHATtpZV^q=bHz$9qKs-wt`9j znVkDut4&+LU49(Zd!~2wSkom>{VF;jGzCk>{CDqs#2iMLx4u;!c3D zO3IQ_6axz@yT+}(mtpTR;HNffJe7%E#Crc#Z|=$io|64HtHkNRv2*AdP;ka?v7DI% zwA7)L812?LCk)+R#72bhjvB1}&{rUnXZ^2xCHS(}2ywIdOO;zUZ<_e-pC44TX(BVT zZx_c~ls_x#1{h96drY3E%+VAbz<(sa`8U#_2oij5<^JA17<_DM-_^@66<`|CvYkuB zmr^_%=qqJ6EM?*b)OJSieNa=S&D$%J#GPdgBc1oZ^YBp9IqLzOc*cqw&aIVHy-fVY zzt)82;LYFty|XDI=0QhXg{O^kO5i$^Y9L^87>N4O$YnFXbMqvfX@qIO@=4q*U*NAa zO5{s7_Xa!}Rgc{MU}VU2OZnkXTiy4Q>qp{~*M_%bTj_p;D|8Gns{>)1patms5qxg| zolu5hio~l4K%i^V1V{Dc+@^}t0N(?~X5wpYgDgAGjmEL_KKprg>_tRQrzInR+d42* zbnTyUx~|A8>19}J-d!!#65#Wch`@^jHF$!@e-c`~8Uy383RG`EO8!YY%t=5rCWw`P zO#e4CpfDnQTnHWsI!CQ;q*1o;tKx@0cO>_JSwyF|+x)?sSS`Ly&x^V&5t!jly9x&$ z2LPL31AfMSDGy!}_$83z!aVOXf@JvZLv?&8{0n8wRGC-CNlNkW(yJu@9PpL^b9RYE zhPs^iIocJ0ahl#9+Os$NyUbSRNK*9c-?e}k;-6o{Wc#jeG-?+!CVt6Kod3N^LW&0q zz;>0O9tZ{fi3q!Sczf@^`1LxfGy~|?@f zaadn}@mRt=?hF2_vc@;x9Zs=SF=CiL`kWF!eKEB%TgN{=zvDsn?YAsf8Dyh0uKz!% zBcAu~C#l2rl+#Dm^#jir6u!Foa+KGKMl1Z+h)dCg;1rrE9h||`y4+r!+6rZ|T8|&B zPHkyAS$Vv&o6gA{8fv%KD9ly=g2tcf*1gPQkszmEE7OYG!h=phVgEgAO$NE5ahLMVOxS<1`gL6UCNK_TkA6*cDmiMsi%#PsjtI&u3Z7c5X9akM&&x^46IC96CyDakT?Gxs( zEeg1yYhKZ%o}U-LXIcXh*})Nfp8hxW4;IM zuYG3DIxvn?n6JxqQJkL|pm;g|k?2m12>X}BH&`6a9>; zOds2mw737^E{tnud(rQ><)7lFtb03xlTYFq5Ho<19(T_0boG$4kb{zAX1G!~H{z4V zih(y!MN(s?E1yHz@FCf|p7t+cOKbCz!~P4=2a0iT=EdA3W7RH!Mur$$XVZ`LAgffW z#nIb-X4}=mE8LZx-cn7zZjs^S!4nzO*>|etIT#kMj6mS_5`Lj+*yFth9m&Y)BZQA$MVIDt*w|Ep=IZB)@qx6VjO6dIiM(r#IZMXYmm{jXt1enDlI8=p*kulPRszmK(57$Z zM>kXf$%L=j_QjI=8utU<7jf+^uq*$~+p%hdJWxLZ;s}|n&A>C&am10s!ooSmB}>{X z)3s$?3FUcm1VOo(k0j5@klwhi3k}O3fGQWV*;|{mJS*(tv0Iy$H%7kkOqt6*4USvd z-IK;dr3b`KIaeMHyx@0WNDOU`hy$imih zeWh{#*D1kGs&})6G%a)G&9?WyA#+q=Rg%8!#5e!_`p=acY`_t13lN372N(Daj)J2B z8I$+nQS4NArUDVNqG>NPEx^Ao1y%r58P~V`6R@ib!3AQ;RR#u?W)Jo59Q@fIn2lcC z4ZxNIVjXncTnR8JqeFR~6#qqp5lTj%gY2`T;Q*PNm3PYhS(6hM~(N|24Vr#l;s7gZlw0glKFm$7!R9(ADyP*j>jYI+V&D_^?CF;JwO zpO29`EI5D1SFV;mBJg$7|C!T4g848oCV=LxFOZEQ|F(m&NvjW%uGXPJxI(;OT+^3B zq}PAe$7TYHDJpZs1nf;3WK|vcwVG7#nd14Dj1`|aJxZD8+QI58hH~k4MlYt`)`)UG&#k$ux9`fI;$Z6DypY^<%< zi!xkwgMwO|MNJ3T`BT&l3=B?>qXtcCStB^;@Xf#f>x6F|GvE)s1R}8(Gp~Ppq;}jz z-hPpSC%P7!#M}bpm5XV-8!u*u=v2#(%nK6kk^s$9%+1B^+;nr67HUV`x^*izF)`6+ z01z_%URp|`W$sKMq|<_&2b}A`!rrGy@v4#s+}xGjYF2g4 z+>vjtL=2wa5uTo&K4V+2gu{y~2qR1ON&^MlNH7$)pv=Mfb90KEBX}ND55>%)bm+>} zvs;uz$iGrCh-GbpzVZys4>gv(D<($rq>2xjj~)b zvdsJL5R6lhlMv_0+>hGjlwko>hlhqcB|ng*Oo=AZr(bpDTH zv}ohe&~!Y`1#rZu*j8NcdzfZoj3yO?I!bk&Law_NLzA^BmO@T{1_5l~%{ zN8`i4;+A{bL zZH-#mGRlm~v80b<#y9!ABQRf_;UoqcYIW&Wo#Elvpa-MMlDpsUP*YP+ZUjsDY>gP1 zLnm$1dU#e0-~G8Np#q3L55DTjC9&FM2Si9`$A&z+_%*lWy>z5KF%E}ML8IpL-3cbq zPEhpHcHm**g;~oB+XO(W3MWT}_&W}#gRTeS?u&lQ`@)5z43Nv+t=3iu(+nKw+|si~-H>3P~E zm111kJqYp7;xmB}qV1^4z}WzhZR(Pn@<7uK=$g$6=i^+Bj3ZWE$S=VGE=nE9XT97h z92^?glke7!GhBckW##4NS$}z9jX+9eO*M8~8p@(t2z?=QyxQ7fS#LA2OLa;7ZFRM5 zAqlNMIC>^T0-g}wO%_r6AG{L??d(|*IneZYTU0#J>*y%O_<49u4{&xVXJQSSr$yol zVCFgk=V2lEaGi+a&SkKLV9TNFnm1+p@y1il3(4dvKD8b9!J=Q1MC$$Ij`6HSs&tD# z7rsun{2l+pK#uaApKiO_YNgD&kvy>G@Itq?zkKsxui4xYs6ZZ!!1)trtb11uH{?;YXvAS2P z)VEt>?8`2#yq!s<51huUV~hN@BWIk)_CuOXO!uP*a#*}z)+WijtkP`)6=GfiR4+CU z+uP)OZ!lanh@pXkX-gfIhud;YZ4sOM-&7`>#ivCHqo{mYGT=2RUgQ<|QxHWyU*lu0 z%hxhBzk2hfP?GskPSnck`O8ZHFd2nm?SAH{VqL<7oAv}KaiB&yQ9U%jK6})jaOE-s zD>;8c8+Ww6LaJV=P7K}ct>jV1)?tn$u@`mak}p=s4M}}ce`JfAfZcksrhyV96@h$o ze-1d>2wGGN5TvG4_>RY}{q1vAF(q>-DTwAD>P$28ODrh*>^sorbzDV`IiVV2TM?Nx z1()OXsT}9~Uo!b6eP1gMa+DU#7r_EDez50!a{;H3!{@+?SpFz!up^{F#%m`j?EDd3 zI7?349XY&%?RcVqcWUl$Npy<}GQpUoT|aEtf^@muLO>P2j8!;L61^j{-_5ZX&s(SS zVteeaY+!p&ZUt1&>S#TRq1n9K)Bl=J{K_s}nX9n+tAN$l%O?ORK_uKfNWH$19-nsm zdE8p~&ak1rQVEma=@pwIj?Gwlt?@*UP8&DKCO`{pHX@Gq2rh!`>ebdKpr0_Q1g$5a zCsB2kt1g3^>Vso;zj$1tX7Ncp&jh5Fmjqemz_!0@aGv8PGIzi3=k(_D>F0eEpl;Mw=xq)!2zI zfnsmwxb;p-@rd?f4()-u4E1*9*vMNJDgt$M4Md1G@)h1<@cfiH8qh)iOLv> zvPv;>^_mFhaC-g{Iv_+UqyDZ#_tB1?{bx-r1VE7%+n|6lD(uRaxWQMwjvE)!v*g$6 zw(!W0#p!bnJI|gfmO|huk;x-6MPJ;q#^jXPW~q^p9syF*-v1Y6ev&A^_Y9*mk@TS? z3j#=u+^Qn9-r3K$fNAJ%@oT72j{XM*G2!b`+pXX2qlPl?ETwz_lH_bN9eJJChQU57 z(&XyVQ`q)Ed2mkL8+?~KK=LCuu7*}rXmec_yw8_=RlK5GEZj-Y-R%FSeFE0{YwR}X zPV^w?9CLiR7FMv?bPdDJT`Z2jm3u0{dqo&ey%%BRw~I8gxY;&;GImYUqjTq?(8fvB zw_$rQ13WTX`ZP-pzBM)rjVZWicC}GYBI^O@7?A&j(aaxo@+2W54rSW&Yng4a(grHdiPI$oE$sK15-e$j(#RM^Y*P(`8Vz8OX{#uS zpZJalKKUp5v513CC3(tAZ7 z2lT$>wHQmN!$nh% zCTR*gSW1{W@F!CMUz|BMo0+>Hjsh7vG5M*`=YTI-kmJn1DQ+*6;3&e@nm2qFQv1kw z#k)Q6am<32ZYn=W-aYH?M<+?6g4$U*g;c})<~9PNrt^Cz ztW2t=MNjp0c5(zNQ#F~MPF1d{5?px}lsz9GL|-q>anWNth<5s|4{&koUOihQc|7wY z zT+SU>XufS1KUk)c9ggqL2-m-y_Wn@X+y$VE-Wv5cfppQ6RyP2S1=3;8;#RZzS&aRM z{J;82Ft8|q3>Y+&)}j;AI3hmXx}0=ickOcxyW3dUUH%P^-eytA#Y*X6HtLzz z&p_ObwSf&$y#v|zakcgeCMxYcCz&Tcr>w$1ms3vFVW>s6b(uHsh?*%K0j3e+8I#?x z%42qnxN~h{>F6YgdjVHi7AZEs0e?fMo@8B`(6yGx5zDgr1Rk@t@Cv#=JEC(k_5btWIf+KRXWJ=n+Oj$hfox zFtveHd-W84QYKSo>r#P`>}Opm4*S_kkrcn)Q#HTBKI$8N3TN+_TsxQVShrw zagp^QtbyLS3Yme_`gNMOSPa>E*m$IaRP@A6jf(T$7?cg1Dc!o)!^7Flk$~iPTYk~E zF1{r~rpVO^t7|S%`LMg|DVa5!oba%CycBqMfy;HFdUn>NuLm+nXdFEdo9{-abk{d- zH?wBs0fU=(*Xq!G2yK0B+&VwyRmBa0q4}m6y3oj3t)f+5Gomj^( z$(S@s`Sfl;)L^%7-xhY8mv)({e##6zsCuQ$R2-kUAvC$tNB<}fw^jXsk?pgLxD=5}{KOC!BexNL1xP|&-E?RP~CtnG7^s7Y4VDus8I(HAIV_mi>V`}pl}T^Ev-uK zT#PSBwlOv~R@2s=mb*C7PII;-jZBG-_dDSwemnaN9Tms}^wMOMYW?p)s~=uaCF9IL{{9?O}qq?u^ejLbm%e;?rF~(Ln~f|4BHa zrSi(W?OC$S$Ak*{$48uF(;;Ko2!NLdU>1n=^G`RqM5$~9OAS~gv zwSi%Jc;SmSzm#gATDkXrt3Y{E)$64z7SB&b#a_nlcG^G$>)Nz!Z4#4sgoNE~uW$>z z$~LpTW?Ar9+D=*9pG#39Zv?kd)v25{JVRS%6=t2tdbpaUlB9LaH$(ZX3cv zg>jiUfkp94w27OW814nr@`AU$qGshV)ltQ7)@3DRsXf1aW+$H;m60gt>cVa(rl-#? zL6xIvbAPVaIr5%pvGnP&!OM|QA9hy9Kuyi&m7?xvgZCu$VYP_<+>$Hlxe27C)}Fg_ zcz7K;MH28DdU|`$Hui%w>k;NTiXwFWOM8#gy@e0_1-^=HSv4rWUP`zdg+wi)0ofz)NEK z_9dEzfVju&)-6Trx@%KQ_7yxImlFn!OBb!4aRu(LilWV`a2sU<8_o#XhYuAqynNOB z^sF14*f0X2Ecrc0oq+DCx?0!c%NOZJ{Br*kK>%pizSJ&S@>%Ze>?L@c9AtyzcK?P< zuO~&gj(CNfzNW$1CCM(t124W5FDhCYn1GS4k*jlWbl+VyroPKq)ke%P=7)z-12YG+yA4~BB$P(}#>RK$@>|NPs$6TFRUox5V~|HK zzx2_c5O*cutfg&jU_k#1U|3*VXZgqDERj^YH99+y$*v=e06DH+74*ejfy}D*^HT*t zzoahLc*K^b5iUJ{$k?0>T?5y?_@Vsc5m$wK>F2x)uShzucgisru6iNLbRQ*!3ii-~76@LrHwzavmM6&$(Xw78MPnpVM5Rt`5(Qc}vmV!gfj#{Xn! zumi8+)ftd&a)ZAjQDW58!wlT_rw&2yJg*A6U*H$7NqBOzqWlu~_>!-3Z zu+FX5-mv-j_g7V6<23ni-dxV|=)UJN1vf`%0R$z?x@Jb$_1jxfw0Q{5+xWp_wtIWV z57|U~`~y3Wl6wt}rd=B?g&Z_AW1?qGj5lABlK++<4ff#3cTNklLWT%w!oHlG5Gl)R(ppk3?6nm^5A5tM@1H={9$riW zuVhXad@1ek6Bte0?F0l~x#c^4$ycsgj0lX)r{mKkRf7z~j(7at%?#FwXC*sDn zr%F`vB+B<0zFt)!i9cga<9V#Qy1u=!QL}l}W6l0Jt+`lgBi&zyIZj&0%nXb32`RHJ za-7HElBJpA9xQ&=P#sm8emA>lV)Db45^q$ka9~>Fn=qz&nXtWrvHWq$(C9K*6S>Es zuM?Fhh#DAmJ0AZDAbJF~^a(49&_%DlZf_~7p&8Z)xu5x6;y*qfr9`Y+!}fTk28lW}-M*-;zf`@uLAgF5REn|$h{DoWm!W9hBnv~cw{KgBp2 zjn?O)QCA2tn1aBueXa>De!PXD`x0pVE0A-!QZlhQ79tF1b(yKtHub^K|2YY(WRamk zkkbE83$XhexfCFlDg4l=c&MMzTk2?ADDl15i@1sJw-K@duBs-pq{^DO)h^q}$k%+m zqgzCsCzk0}8HhwvLDloIG*YHS(x?YbYuSN-;`Oe>>A}X{U8-I$`%T*%_)6vnfPVTmWdz+*Zsylv;Gub~ylZG#G=lEtzuk2g< zM_QlQkRQFp&@>@Q}~-@4Op!&MD&*y8R`C zc_Ob58|Kk(TGnI)4YO;nU1$MPTq8+7xwOF zRfQojQ+e-hP?KC;_Gq4*9m}&8>ibHd!?}R$?Aj>xHlQ=9kj4(Ru!PNLvuuCN-EqG% zPwsuEwzx4BC}mHZbh@JUe%;3H={XTo1}c{}qRLH~UckI}76ci%a{|Ii``1be7a8ji z0s2nt*1aQh4qj}kTAf@2dKK2&tbHQKiP#vITTc-fL*;KH=Zvj84qJN${fwe)*erP4 zquwtB%+X0mmg2j%p)2~0nMG~>U;8a9bNYKxKtm4t0^z!W6N+CiMF(ob;bVNd-QVVu zX**ob%~W#cYL-ODo;qWts9$-viVgzDFT)Ac$Qtze{}3Y;;ed>ZtA`HOu2 z(f%AqmqP}^)DwkcYHO!&@2#gxVeb4Bj8rq@r7xkH1&_C&2%k4qY|BKU@`XHG7*c=4 z#DCX}MGzz<&a8~%;_aqV@;NO#+(ab)<^&0FoG{sCX@|80FN1FM?urlu;NL-LpI}W{)#szfN9Ls}nd6=M`czG|?v6tx zNdK@CA6;0=l8QQxjf+9#dO**YAam(_7Ppi%Y8Dg}hi>}2wlh$6X#ezt31Rv{DR0_GE28V`zqQ)|C}N_oCsaYJr$;zp76uiv+G;J^0*pu~}(2Z5qXCXQIUw~IJ z*fp~YX2Y);Bq#CEMLC`wVj_E%Od8yC`v(T7<9evcu38&kB~)z$Zv!aP8Pgl;Te9** zlnEZH&ufs)rn)CwMK_u}phgkW8W?)-NwIR_psYi%^>KdCrH&y_C=(m3=+*Af$Gk=f zCIcfXhY&B7%C9peX#LI|ZaAhwc>V?(Xg!Mq=RZ8T{Ypz0dpM z{c_*)1b3Unc#2smMa>(;``w-BmE?&m zIg!NV_lNERXTo&9Rs|p<(;kX#VpRU3RO}X(#`3X0vr)ALe(&r6UO20^k%|mr*RhVG zc0RT^`c|w-HjhFY+q;04Oi{hQ#YWJAi&?MB;&z;OV=PEv?_$X%HD`6dLl?Qc3#*CN zcWc?ndGlJBdE}?dW#ISfl?ck=3*x6nQtls{A!lpJf|-lIb&ykptOFenGU-VIEPMEB z;EBn|I3-Pt;Ou0NqmS+m&5-J=BGt3CKK*p)#lDm~Z1-oTYRqQQ+Uy_{d6qp)I@h0q z{QORHw9z3SGII}q6)OXixBQnnk7Dk8Q{rLUrg7Q!@R}fk7dTg?l-VAwu#ZsG} zY){1VyA|)&?0NADU>>STX2Nu$Yks;ki}$hOkdIL)JN(jx2DshumvZP|M;kP|5=43i=!7;OROnStfGy>VvQ0tlWA`Swkrb z9>DR$Ll)0o^fi=FSk29Th41Y2R$ulD5_KuVB)xNF1-w7Pvb5sTpwr5FJSuDbOE!C8 zz0e*LFXvL@4g6K>GPxk!(fk<0mqujcsWPl}rF_fefgr!Jg-|~|D_J+ie{h0*64A+^ z#kTAmowp095*?nhr*2}VY+{ZmKTC*=7C${eB+dF=xU&S=eQ{Y_3)Ir{eEmt-SjlF3 zK<4jVI#q5>+V(tn!fu2!UVT$&dB^Fux{ziF{~4#Mu6xa@g!pBAyHXhUv)T%FA8RJ`5NVKhpNubs7)cAs7cfZOCx1pN{pD$k&Rwva>?b< zDZ{3Ik>cVLu5_AN7s+`(IdB$xCwC~Z^}5PEH77UMuWqN*eCWNN@-MR?tQA=us1+Qn% zG>FwJjk40ecQVJmnRka&Y;W*yY(2&bF?tUh+UTc$khyW*;GR0Sre0SjqJMhq_kL_R zmAU4m=+@Am1zTY6QvR=r#Yjh|)8MasypUK)mg@SK62kS~xw>D~t)og66QiS<7dpw) zyUl4T$V;lK8Q*-1p~s)Xsog&G#IxH3b6Jh|Z=Duw#M96d1i;cOV zpKa`$8HhB)zM02cn42rPX%gRILahb%Qz-cjHWpOO?m#3)YX<#Mrn6t25cI;b+H7Oz z#bkZ$A69@$zDT4Wl@Mg!t>uH1z{Lx_NKcODD;c>GASqnOk`PxQr%RR;gzcEePKfzitHVDjp331 zIe8tn1-j4)u`1koh|SO8V;+AW%cm|HKPr-L*3K;Y9%Dh$TbOvPn_sgbG435cbTET2 zNQnj3Ws@&aq%{q_R!MOK_3_$M#+uRo4-WC}!V?j^aj)TB#*B`0A7Puh$!DPyXM25{ zKNK!2J{1tNyS-q7`Mm8t8DJCiXs$=JDt7WT;!wSaZ zC1~}#^8_DvyB-2wGT$5q|5D>IL1qD`f>G<~v|uwGN`B8Is-j8@Qf)d9|KGeN_?g(k zS~F)EA)8U$6}g;5qbQ_a##C|IB8QSATS}*1aG2AXkSAGGmX+y#JGIsxX^YXP?@;Vk z$rFH_tQU8&Y{4(ioVM_S#A&3gLnMxZ`3lC4Lii8=)4_D0AugWA497|q_q0$+<4;jc zUh!>Csru}VcefqipK+|K2yM3)(MwRiiLumF?leVrlLz%vSM z8Ku7G#P7ml0@>k#)a49-%d^fC0A`P?cc$g_E(ro5mog` z$Ew=AbD8Fmnj@~RXIBF>|2@QnYQph$j+|%ooa2N%}VD8(9lA_yx`?*(^oU=QvfB^5JbJ;cA6T%gCtKxfYSgs)V7n`pr5$ zO<(PLSI_^^>d8^0<)2o6)S-hk0>SFl65A)(9yQUrD2DFu!lM1Vwh^PXkkSijud0f- zkt9@~S4N63%8bC|jW06b5t4QIq*C$Y%XbF4G;(td{_)x>tif zhiUKdS6+wrmhtyX%Q}jgtgK3pB@3l}r?PU!Ny)C=peVO|Ik)M-4?&ehimE*42^zfn z=G=o2F$b~_&$zwzD%^L= zyy}^{lLdI54N|d$t`~rc}meR6_ z+Y*6WmFP2#%_X;B1$kY=(uU)wqFT~7)HFFZQr)MRp8Ae_04ZJib=krk=;L7vy%CT@ z-a|r#7;F&}`Leu=>{}J|0DwD|<5?XMImSf3IxH~+%sRgSX$qOjkB%mYliJ-u2M1=O zU8?OLLK>Vrk{{PeY!WY05TD_4&+F=|WFT;(6>Tw=w6y)Sxvy4@keeJfxD+SBgO}dw z;C?v|a^-9I>(ik*16@Mys^=cv^rosV)k_iIRrv%)DSHwY&DkfKRU^eed=wOP=botX zl*=r96>*v(fe5Vi#yxXs3?D{#wVwL~ZlgGc_rkxSJghAjmG{er))}=Fjw%>0?`lJG zk2lT*3xGa_(%JiLVnYWCU7(G*xqCb^dgUT+`(`L^U#56{4G8 z@cOyzEu@!dgzUxf%^z7;=;Qi1G_F03mbp+v1#)>e{ZrS^^##PjeaTOPMb+C${oUzb zV~~Ys7b1lFb1l81W}e$9_!_oHs8DB1z99c-Ng^0mkaHtBL_0jT2n57oV2HQ8MUjN! zIV3D8^~&|o$K1+cCdmS&uM4Y>lPYAc6`u+hI#BNgw|e-a$)w~1agb?(F)sQ>;-p|o zC0}9g%C1a80FM9zE3_c2Zi49WC3bSR;f2&sr!hw9);Cz7mdr`E>*_f#I-O^p2o0&i zFvqWTUu(T_Xi}w}xBKE_miF-Da~BP3*`rIpAur-0YvG>49DMH!;pO5h5`xwc2lSC`BV zH+U|emX=G6KNt@&)&yD9VQmh_4W>&6mG4p>@u3Ij&D4Z8I%w{q-gg-yJ9IWAF-0{5 zB$gy}Q^n(Drg@}F!0lXtXjoXk4{;E%yN^4r2rPL(j^-f)p1+!IEMu|~@jJLi~I+J5o$_R&%+6zBKAcG&W>ZckYAcqNQufj-cyj4xvE825xHP>{ad=cx; zx1hQ+THlp6UJwz!b-Z5jKJoQhLNJazY2Up)xfR&8B-w5*J~*1$wh+~p82RS!Y`3`e z3;L`V&!vi&!#{e@4;%6fQ*c@xmEdly*uHrUA>nwA8ahTW4$A4o#u7L&pT@X6Gu`Ll z7d?Y4>n(I~FLhv8d*3|!bWMJ+m=dZcgzPCf>+QSD3zn3nWsS`gfZw5!;uWmul)k8j zuZC<++RRP~NLN>LY{ z4unFwiYaC(lxR;CMr6k!pQQqdL%c3NFtrXv%)klO(z4s3bGKclA;h|bD4=q5F9uW{TM%!4X4@xGN(cD2x` zg4jO{*%dI_nJn|8{IrV=$@LoM^>oO&Txkt(+N0DTxCM2~hfH+YSZ|f}KFF-7=*Z&-L`Yg0clii`DM9 znGjlqq`b4Uv&R)2A6oZVdf-~~DL264$lr&I7Cl%p5wvIV<9IlYOm*|@o|jDZ zI$w5~idrNsP{xqVi7&o~B&%jPQXI6QO(NxeoIF zlxaC>B9%DAMTvPwYsy$FS~}nm|E}1v9`fys^IAmp;zdim+6;H?MMzEZ@Z9cYF@>i| zVt6L6+0@gnfcG`97Pa%nEqG?Bu9uhK9kw$bHks9O#9$Rd#IBDSx?fxIAT#ok5t{tWXP>KX_Ecbb`#7gAQ)74RiU%+@UQ zs+4LVRt>n#MwuE*v=+n4F9sbarxb@^C;iC5&gxXwI{3mY+`JS5LvPoyh9B z;B4+rf)!CPr<~RYMKpOOL!1B-RXamq#*F%NIZ-g19j}Xh6`S>itl2`{D&`@@kD$t2 zTTqXo=I(E>K}%ry>U{Tx<~4kAv7Y+>xszPYi`P+bJ}tf&eR9Y5(p!A6bi4^YeIu03 z55qgrO3k#Nuc2*;#V;E4p1s*`Wv@cs!#B%`6<&P;2|;KqhQ2mm#=%Sp%)eNV`#ixhQ16HXheeRgK6xA#J~ke*Y2Pa`|N?dkXL9m?^MlxAH%yYmLs z@IU8A@i^tI2AyB?AXKN^qrFgF+G=?>en!O+W%-xjIA?ja1!kl4q84i~8xXCY81x8$JpC za}2iD5fUw1@w~j2trlHS<``IxjB%RqcGD{c~VclER4qQe;831<~RC-ns~55ulSv>GAVt9wN07eIrM97qe1+S zBO^NcmUL*9O}^t?wbvMjkt93a|4I8=_X0Y%q&-Q-_!VSXOqHL!Wn~N1804{tk%?iV zS4`@Awf<&`Yt78+0z45@q=V_Hb)ID6orZ^sTBiDq-*IA1gU#76qCgO_oq0u{(9!-w8 z?3U1tsApy{|DFwt(|R;|S#6d05W9m-8E1w`(_}4jDr%)=k~~iOI*1b^^a%>RF-R*9 zevF){#RJdJ*Sco)BtjLoBB6Y%=)Z?$eDAET=|Lz7>Q!$h#Hqt*y1??zyKz1pUljLD zGh&a^V)T6;lY4|$YYHKnx8kGgWkribGdn@63UDbP@DdxRn%!UQ!&3#-q^c-f~D z!_0s)R!LyIvQ+AF%$)$T0r1!=zJLSd%D8 zP4)Qr1CSE3F1#AZaNCsjra*+?lkaq4l7%nOUA5o5hJE?9Fr0X0a~l16Pr@%oAw)XC zbMT^lU;Hobaw;PEXAs|JS=OZiqWJJhgP`rC4$|&a3v8zF-~4DcCCe~$86B#pQ6hGJ zQXv(7|H#4dBzz*CGehUj)FgaxOIx)B))MW+%EBT~zn-5*Eh(`HL>LA}#+s}vK?3l1 zWPH567d@tD4|vepjsP>`2DXd(ip%BHA#?U=nsUo(?^S}(WYs5CuQm4s3AYEcL!fkr z)0M3W%s3{gMw0MHop7}AMxYqS@wTV_h}fRc+wbYw5vvLV-%MFUsS|lz!jtOP7^^R8 zTcqQogA+6}pnv;6OpVT>Ir!VRH}0?~*VuM_302=DQ_|7Yr1uMe$f8lyHt$s`$^zTE zIioypnYdsL<+W}x$=mC&IRjxg3+ z|3f49rknn({|oD-n)F}4#+LkncX{7>Z~e@}p_!seM?R4)tGZ<7NdrN5_NW8QYA}wr zCmfjIi)qym6V?m09XSlF!&icbgR$9A>L*g>H76&|xTt2qm5#3O;4Qav;*3?P8;ytW z1YGG2(e8dt0wRlHlJS|*4aINQlAUU)H=0e!VX9lsznF(pHX=Gpk#*uU5hlqynW|Bn zb!R^QtxrB?o-`S-W$b{Yd>-3>JC5L=kkJRSbSlK>W`|(4xktUb*zT+zb2kOxhc)5U zL$6nCiICP2ujP2jPXu=yt8{=BGupW0wcNMKW_w8Oi^~dFQFaZv2^78aFdEHlLr|kn zApSJDDhoj-B7oI+;5(>jnZA^{o;ejQ6%M>4zcf`CmhE|?B&i`*DntEw^r|$Sa5uc* zKc6&@gkU*C$?7v>A3FjgC*8Ite5%hU&Uya39x|InASx!lFpg%SS)aQ{sM?cOPNhqK z=9i5QwEpsPR37tPA|gk(%-V19d%7T^2mzlkL9UXF>{YC_N2apFk>6?Fj87JDbJx;JP1feVU4x#O#&dgq0gl0JPNH2ewB-t* zc01aBGu|IwSUNFw>NIrGv^O$^f0()DRo{`G4Oaj7T&MCyCNN!^?X(JbCIyHtX*Fbs zZ&eHz-ns^#w-Vs{V3b^=__JI+G0uHbC+^mWA4Z7c0=PI|JlH>|OW4^!6eS$V=}s}_ ztA>PCXPw|cMwU*cEVI!TAOJNrbk4-MhPtbEjc9y*&&1xF9x9N4guYryGOYnNw0~&u zv?`MABC@ZOvdlQOL0n?bT~z4CUzJ+|*LLnymigMH^8b_=8NZEQj^gp;Ijv_v$_OcN zmBwu*u}8jWo?UQtI)CkX*~Ew^XKV3q`NlD?-=9t%P5Nmg3;w{s za7Jf}8QcmndA1G0L$1fR9e}Q{G5#mbQXv#uMyU2;!%%LCpXl9en5N=;*bHP7mz9mW zS@O#CCG*fW+;#HUd~#f;_umo-Q(hQ91S=s<;dvxV9Dcd7NN7Y9oxc8G`9twqt(q(? zZsv1|ce9V_SZ%XsKM8Ci<>?$PK61eCpgR}Vzi>i*ZF2c{_50aU0=jW0kijyb^h1-g!`UkI4A)P5gHVzshU8l>FE6brkSCh<>-qr^ z7n-|2!|X6(&)^1SMA^d0)^tVj&sZ)h78`c)LX(bB;GmAl4RQ%wS~e@(GvR$h;pr-3oY_E*)> zXTx?jWn^tSP1kE0DK}mU%sgfPgd3Ozp_3vzb zUEJpMyW23s;<5J!BbblHNJ$1KSN}}wjEM2#UcU&!@&Jrq5cxKFdJY5(tqgr5)T5J< zei`AukrAV8kyTx-<*?Sf<5lbI^kvb+o0V37Eg9+sGq$Nc@I^0qUk7b+-o?emZX2jg zHu==x8vni1&^jju(L1q`_qr}K64jJ@(^V?ugX#$;!^RH%^v?CQaW?bg`Bf?P+{F>EPGcG_XfmAT zg^-SN30!jFc-UoIJy|5Y|L4@df{>Onlr6`G+kBAP-z88siJv$B?cQ=n#QE1+=hsCR z;k^Pb+0-B^V(WA*S;1V~XGoq;u8k5}OKlGPH^;;FxM-yagS{JV1@{i?=;#1UJ2jOG zwDuQySz4~Hr1Wal0(jIQa$*8cp1zcwe|D`R(t-SBfH~s8yPjE^*tV47+V#NV%0lm2v*r3?J zIY2oTPA%pTm0e3qkAPLTOISEi{hJ*3l*-VTY4#`R8i{+^Fs;9Ty*JYrTpa@PuU)mO{khZ$M zN6yPgLR=3fa%_}&puaApKJ~;FZE^Gd47S&cLQl(|=ayTW%)pXu+btWXk(vx$W5|!a z(tk0zYdPkr(co(PEj&}|v4p`=Qt0k!^Mmm8bo1<&T=W^vdXq%`m+L9c;oCm4nx|@s zC$CSEa!z{?Oy2^D&3D#5H(cn_h}G@MS$3G`YPrqq?n316+`LhxldVg|#mTt=&cuj_ zygYx7SUZnt;M)wX=rS<0sRr8666dzA`=$=_Y<-?#**kJjlH=F~73`MGemq_V)2%t# z*({=_&2T;$`%{`?LWtQ@s7i`!HsX6rf{9ak*5;PmCLBxZBjMa^;o_6$T5G^E(E<}*hS+KK z)~F?X2xMUMK^v3_hImo9E=-9l4gMHV9+}AYBd^>N{U6w?STEhm>KS5faESVWE06j4 zfF(?pA&CuNA=aNJyqGEDm^JP-q%49y?yg%6(9u>f2Liv<>Q?`r4X-Uieon|`c_Vgp z_ANky?C|6m-uEMGi-j-3Mhyj*^*hiR&}-p6Xp#bA`ow(Yj6cnK3)NYS5d5wG{YI{O zXf-S>lR8%oPGK>Mg|Dwnp{*+s{FH#M;I zJnSJ8HJUDYQ(PN9=0SK!pJYvyU`VgVYZYL2lMcHvKD&ChVPAc;%ytH?&&U_a>Yk1( zuh-e-C%$z&GC7(OAu*w@Di(I=;2EAyubNT41;VX4AE{{~dWxl$%)cWx7C=7z1-h#L&cf)tToGgPEDUw*JA_ z(u!gYnvAM9hiauxIM4Aji&&-DBQm`X=8ro2;2R>uXZs3o-m4SPkF9k`PFcsO4CP3d z*+~f8LCZt`{UlsBxv0ZG?8MbZeaR3UZ5Kv1MvxW{aju^jjM5n*K75ll}v_T}Qm#h3hffeJQ`-f<8@; zZ1pFKLQXJ;N#@Wz>eV<8x^oMhYY|ESf?tsI zea1|3QZZ5O2_9nRHzQpZ$3ZTZ#b!B>S~wNCl7Ol)qhE; zWU{;%t*3c3>bnCcHixM9Tn2jWqoZfHeIaN^ZDUC;*Jf)-gV4^7^|)T!IvvJGX^3CO z8Dj=nB!}ez})W;x5F0yi79Vx^f;Bvn8jU5N4+H z@N$I{*B%9p_3O=x#nd(U5Q;3NI8RO(99;$OHLc4|aa5nNDkJHjLIh$PW913)LAQhEi1nVSkYBM3=~iM|6@K0sQCcjh9+_d zz7|xSKWd<%f7<6~9JEF%b(4s%_rTN{nKnJv)^K9k5+POQmsUPQ)8MsioLFS*+pEV8 z8MdmcS`a`URn*rk;HQqtlhJis=%BB79Q?;|2theG`y%reruQeV~b-OPu0-9#LzxH9Xi5OFu8nkGQg>FoJJe0GWeQ( zc1$EUGrOL~rF*xeT+vVPB&r6Lh@m`rxx!tsaj3of-a(sh4&6!r!)sT6ps^;(kqJjs zIB(`XwuTG@H7FJeynB3Oyf+|$0sie=egL>^o>vTYOHzgu#HHE@)hcEhw}&5hDda~D zg~ieDQfhI^&3PV+2EmDso5^2!9*i2FwO2iWD9TA;38x`K|waL@IgTTN_q zMw@!x7;4#(w0#49y|J(eLRl5D@A__4df)gy0Oz3DOlFX4~gk2#qm&{k&0_@8>;ol2gYQNPAh<&^#fSAnGQ{k=Am2Y_}h5HHho#YJ! zn%rWHclwJM+PvAF;8Sd$TG@cBR} zt9j*kK;Sg1f%C2&tL!l|8cjJiXvKVqw0dl=boE`9Lkf< z@NmjtGvwUmIu=msi5!6SLJ2MUE#aad)%c1F+WIH5LRa87yibci;D5@$e#Af@t?{o@ z@1ASD_4@Yz)*VpOf-_cEO~lfawChh$a__{Lh8Rwh-Q5$yw&&MRUX0xYVG0mP z4YNLkjfgD5Ge~v#5t*L>)Q$}88^FN$czqDa|No=q+dH;Io_*PNeKi*N2@dme`p^lY z&}k)LuvfXRq@1_gp0jtdoNrCPI;;OT80_Gmgl)sKb@EoT+vO{kbF~wEFVBmEII^Gf z;5PN?nJ>R({oixtLe2GT;h{E4&c=rs?a5Q`6HQ+ z3o-W8;h$=V;eGHQnn(O!h5%S0HbIT(3=O7C;QJA!dfrtGq^K1T1ORsjaR7sp>L79K zHHj*F-1cr4D1y8N2WP%ajlLoYVjv0XeBGnB2YyYj^DWYlEzo# zivYp9*v){Ohl9wQuBh1|aCkXIqR< z_ZY(@=}3YoM(P(2DycgbY#qngW<1H|4qSDLH1gDOYI<>okoDEQI5lS=AYP)5HMuWl z^oUbxX3bLIeDlp%yQscEAFSpKR3a=Fi4A7cOnZMB9(b@eeEP89JQTPbO7K_B8x5PQo7^&lE<+PWeirc^zv z&P1@~FawGTvfHyHOH#X!6mG@#4fEQJfv)|e5mg?2mXWdu&i-@Iy}3|>Ai)?N7xiilStS;`;vi z<^ic$Zxb&l3DMctr*3V%`^$2Cc6QcA-{Ffx_0_Ye;QHVbJ0ff>fbT3_#b>oJ5E=*y zM}XAgmE~olQr2vapNMm0+U}Odz218#nyYiG3R{%KUz&dYUf5qCp*Yh^db*yM0uB`b z1#|NST|;GG*379VCx5d_L?Pa; zJ&>HhVJE5@8a$gqZ?H#Vs82lvL7}zu44CkWTO7s>T2g6BBUAyP~3oR9EvkEJvLA;ehL@k`Kvt-vw*G`;5S0{g2xDSmrq!PSgA+KwVawBNXB{FC z8C(ydX#gPIlaJo8I|vN#otC8`+|1sbqu#mt!QC^aCo!bBcIazJM@vgOqgbtGKmO zvYsaf!Z)$UpRpMT&v%yw4Q<@u;|NMDFWD3qI~EZWI2Mr*d_PAToU`s<>8bx_QBACE z=RXJsc&hG5{lrB2p*QorM;x&o?_}SZi4MlC*&&(_W+#URD0&;i?5|$@$NwF%3au|2 z)7(1uwA`sv|7n?NDaGEY9OeJ)?w38GW8@CY=22fbp_{^GZ)wEYMN@;0qLxaSk(|6d z6-CHci_1p!84KLaDkc#Td*{XD&7=16kH*xTP&rS%tKX0IUNwj4X9!xphDPq--~SdW zNlkQ%FZoScV!uThD8yL&1oz9cN4S1skPXK-mB8a3LG$*}-%}w$jlYO;=R*pYtFzw- z9ylV`7y?i@U73Es4(0ZKO7!;8-P<=MvxZV@kKF}8-OCw;=x^_CdYmVOauYjiymAFV$UA^B2K$~ z^Tq%#tjENs$0YZ=dR5?)ySGJG##Y=%l$9$}bi}1zM%?vlPAvdW-r4)a>gZ)CN38(1 z@#y|H(K7w2Kq{IT_3Zaxm@;3KJ`@pAg-OoX`G}`|2FtdC|6O!5oU~Mn8L*E}fQo!^ zEnqC5 zygWf8Y+mRuiGQ0e(;4+La>m4T(Sgkd2xKuKBurgeN7>=jzVa<@T0fyk%&oN0s#-Gf zu!yd{zP_=qN|LPERUT|ak=;1p(-DAS?T1>yfuPiasNF3-77SY+vhX?(bHK#P8%PyL z>UEgoA`ZArcwIZK_;7B!n4XkfhY{YO4AaA?W;*-I&$UxZxM`BBzQVMiT7(g*8&*v5 z1?}a0TJf;07QJQssIK#|j>%Al08*pFN02Z?@DeV@{5U0nwLb(Q)DRG~5JbcgV%W{^ zd+X>9HS7jm_{$y;_j7c6y4Z|?U(QXXv0`#gLs za@$assP~gyMK0fpI7>`KWFgqhmmOa*_#G(Lo85zB=H~st6T#$|$ikK-yFW{I$GUw? zIxrJ2j1vV`ra$DY(NALFA;hnVX_BP$avJ{8bdn(gk(`oKSNw@sh6~}ZUK`+I6%9Et5xB8X% zp3Il6sL)*$aqcjpMl>Vz1{L>mLY1*s7z@hUCR0vw4dp=v8fsq8<}h2ylMj3Cv~`oI zR*I*pg&ChDW1s54Dt+AdbOZB5#z{af(xC!ZSTLJW`C z*suETZSJW>6V)VB6A+XOP(qnDJUMQ^W%E}&uGD&wuXsLUYee>6!qSh*Jf&PCR`l5ri9~czSF<>*b%Tr~e?d$AlP@ z)m;YTZ0BJ?3%Jx1(-UkGP%o8~aABpl|9 zB1I3r^MakSGxB)PyA#F`*A>%DS2pjfNLNb7tr4L{-E*P04Ed6=-*0b>_i7r0ZK=3z zJDliSFV41G_;GR8P79Zjo0KtLijLPS>q0TB@XF8A=@+%c-?~>4d1)6jGur6vtKM3$ z=trxk$LhNjJ$Exp4Xc6iSV-hZFQJl2E~+h$wcADHr&|qcpf`YvQ9}jvTLOhllA6Z zUF?O;k=$X3$tNyS=bcH+<}NH>b@jZzvv*(VO?--0+Yg_8Sd*%o`kW@B!`5Gs`~X*{ z!HaxO?<(3I5iqpzXLqJ1#Y?H@KTF+DiR(s!QPOq^`{G@o8&B?h2EL|x%sp*}sCO?Tnqn>UD%;P8G}_haxI*GS8{&nKV##wR{noy$FkmARt$2Pu z;FKSxrc-HqLw^S8?=B%NB67>!-96Ond5>8$&H_&A-sbnVrQrrzRfH8H;Cp=^Z8e=j z{dvfHhhM~NeaUSd3lVg9_gfk)t;H1-9zJ1w5?FcsSfG}Mg1(24a4MI6{44O(E-bfy zQJ0ZK|BXXA2H=q$udtzf z*Yli#<*-N+i1MX`6Y}XXF1-N&FBbo#rN&5S{00F9{X;`O1}dS4RC6{jPj(3pb%PvP z5gabB3TPkbF{&4cf+5`uy5S2ncYLIV*x?M^k##IEcb&6*zRVpC$`aQQ9@V?~0;Tk+ zCqm{mNk+lFjg=qH9}EmI+L9^mVElT|kf&LKB_JRW3-4CeTmHdMRa#)j>ouuW}`#{KWdT6BHKZTaOsr$&v&5J^!uD{CA^5ulwwD)f{z}+bx5}+&{PVRd zI!z*#`6KJ1TKfAR`H+G=a}#0h(o*#tDMCWXq9Y8zQ(JB|g|#M6YvZNMlBQGb`2Db0 zgK50nigX(`?zWUuQPWj2!Ni*OmGzt5N+-TQX`ULR^X_zqA>Qe9qfz9Lx`K+Ha}9Zo z^Ujw`8iBU14@Lj2VwDrVeYBr^v@{%~GF-z(j(bPX6Rv8;Z62qGZxETQ4qKd}j14R{ z?W6d`^(%JzkuGiZukJQFtATQ=v}q&u&e=N1+q@S*2xZjn`e`jsl-J6a1;W~ zJY2}ke0h9){H%(-W1k^LDgKKb5edl$@Q%y_ur(g-{nurJ=g!hVlvY|+mXeiqXP|sN z+z`*%YbODLU$wCC&TD31;B!(E1#X&X+CXt-6W>}3zyf(tlp4qA@>xqjVi&|jK#Y|n zEl;cLJ}7sQd!HK{7Z)V2baLG1i*b?VWn*LWJ~*&@D;{?Ar&#MhR=?t4?N{Kp>}+~} z($RcvznK~r2O^p<$;cL*)mI!?SXgw{in$|r-0yvqzzrK8pN!qD4Y4&WAU>t-Gt+HR zZoJ~*X*Z12t#OWO!TBLJ>mpM-SzK9h_i9N9`S8LI`u8D*@X8^)`mt@cjN5}^DzPrb z?DzgEioJ6kOMkvU4Q!(@Whd6Dvd1*-{b5K3nRBN6F8VG~ojq=u+Hyi$^9PUJ7lxmq zdNC}zzg^}$+YX+bCz({oOt%EtFZ%0#Ur4a2Px0qmwgUvYd3mFwcrrAR$d~1-?b)%p zMOX~KwXN+V4kSnS;dPB74=)LH~YgW#u!Pu%CeDL#4G7I0C3L?SC~7jCKw-|*+#1i8oGj~|+w zyed(AwA12J(Hh5LYI}NUoa22H&t*87$L?m;6z_yy*{)xpi!XKnza8@37dabCsj&bI zdGIMnsb#-UQBqQ}zP07En-V5OW(Z@;%g5{%Z zQc{w*jSVZ40`rd#KgY$)1!1fW7f+g-o3UYF1<3?Gh|2G+F3k*Xlx}10TOdTv;1>6} zQYtD4A5!rDY=vUDo*mQUQVL=tIJiIVruJ>UTauxN`8}jm2IAd(M+7a8$6vNu2R&ax zPx&Vt(F1iP7dT*O&FkxQ&6bXic%Gh~X8YXlySw<-#K16;_}zZ*yZ_yP?hb(r5ScA^ zUwx=OJmp_YjM+7{Mh!+GS&`=ppI``bO)oX8#F<;TqbFW|LUmNuE)%>0#{T!P zi*2-||mmt4PFHOsziChh{X?HCSMY9TqSo~`#J z13x1Tghs#plp)sU`ZS030J)SuA7l$$cBR*}B za$C=D!5UUwzt`!NnSjleSd+M990|gb4%q$4tbellf_a5E(QP=|eJ*Wi&@U(S^myJE zq93$``pUK$(6H79a#lu`a`k29^w_z}(tT+Pmy>NxSx;^nzJ}GV6(5neKLkDF7eI*_ z)ZQ|^)ffFb4eUd9`w0yu-=Qpp7mm-V6G;E=)+X@?G#N$$4b-Oo6sw4_$lpE4Q^5BD zA&X)_t?&W8q`oH{KYT0#f8qJz#a{h6F4zT&Y@Oeq(uUSWMfKo#B)9<(2LXO$OSJO$ zV5xo1aOAC5{MeS)u#9#4q zwVyDw;8fVmnJgD`aB!e7apSubSK2k^tq-J;iO0pqzXL0hx1`oY_AXByP&kQTvIVxz zKYwnckf|;5;{@CNw~vTONdX+e*~R`!_rKk21465Jv){uda1sNV_54RXciiX8Ep0f^ zv!P_3z{9@>A1^i(po?sPNQUl+**A}m6S1ZhR=s6ZH z(sa;`+A&`L7;c4J3K3caV=E^9w#RKl!I4%`5z~YN3^p#i)AR{S<5jf_MK|i|>V~OU ziLU?yvR(Xh&+!kR5u|7g!||aLkNvWwl=$Kx1KB~);c=5xTMw8B)AQ-vDwfa zc4fD!ICaoxRb}TPkBG3D$$80ZgUUgt4k2N+5cP#n&`DM4@ zoHabBWvQ}y(H1bBuPM!KJw5O3qXWZ&-U4nJX$mNOQL^>Z0E2a$4vcXy|Gd#Su5w^W1EU5l2u`MKtSm_`#qNk%4WnXXz4 z7~7Af(ubu)#KiP&7k~o*{i(P>Jzq4$vX$q>rRd~`eK3R6MXI}B9CXf1;&14FqTAlv zyYpWQ%q`nNN|+u#i(e#FP4v^~Rwp3%#LxaX zkg+Q_y3?fOM~m;)7>t8}WSxre=D6MW4N_3kvjoEyaO2i@w`wXK7Jg%DT1oajZFgYR zukWV29KsJ0x7!$`X+7}x7}8%UlLR?fYzYq~`Y!tG)OVLAGq!Ea7fhYz!C;Ew-7%QH zDVf4m(5Q-ayLB^=#G)^f92^`f-Hu)^xt_T`8?iJn_?bS|-3>ys1_C-i-1p0}Rw3T5AGf3M@SgK0-z=3Ml}(f+B_-7j)<-QgLc-z1i4z><({*)c3W`}oU>HM( z_VV)L2q}j-7XFvnz~q`GrO3mzOYt^C`1(F-4lIJO}J=<+llFgt8W+I zwniw8`nXEAG*UQVW>zN~IZ7aXHwxe66(>+9MUIh5D_m%#SnsWO>_cE?zzL?)LvAa* zC?-f#;p3-I)$oT*g~$vLl$4awk}l@vq%w!wY`*Ff4pqcX?|6-qGj%ssQ(?5T;q1uX zQaKW{2vb{F$;Yry2H4WDw`D&Y(aTbsz9yRYvzh44N)#W@XeC?F`|A(H#KvA4IJ-X6 z#-?h_W-e>we*eJ(^2HX|n@_SMj9qf-FH0ReKVJQLrna{BR0Q&3)6Ch|bh#l21mejJ z?N!xGrGonfzig1Z`Z_6J!Ua{*ktL=-S2WI(b){ zmp;G14*XR0xAlzZn7-0MSrg&#Ya+p6SMtCH-J*~8aBO@aBAz=>;h(IGx%L6lc7zN+kBor&*mM`8(^ZE>32?f))&=0dm6sa7Gn zbIaS3;rP0(;$Q1R4a)wyy8dYXG@6Qu&{iu2HV>WjYU4NcPZP&1RYe+CW7HnJUv1xU ziqv_SmzGU~g7ma@mhP$e>eI0moICrPj%0sJoVVAdE0T4-b=d#0d-TgO@wq4>iGpwz z_XUf_J$0Ql+my3y=XE7O;B`$vh-Ac0EOcu!tys?Vfu<)o1b_WTj`hTj~oG0k30;OKu#XKWEvK-O}k zopITwD0YAA`X(%H$%~ zP>&ey=p)6^1(E%K6#_6U0!20a+XoXh)te5~?}jBfdmu@kSp9v(Oqo1nW5)xnT{gAh zMsH7VGB(7|Q45ASLiq12M%LKa#vYCP_wS$iKvT>j!LP?PK29q1AldM`l7l37qjJ** zVEMOy(+`Nm^DA4M!*5GzqU8 z&i~et=_*Pk?b}I$3g;y+^#gdpQ0f--ZTzn$a(H13@n88AjxncySh5rQvYN()d{D_YVO$bdl5qaFSv|6v{14cSN>XYCIiIy5GWoyeVZeO91b zZy&YRA2}v}h)(bFu`DQMMH}yVG>6B|d~m+(O}>=IV>n-?e@7_kaTVGCwA7)BjROb?>1ILe9@Q+3ZxT>BfLsW&)7bD6de zobMIWCV*Bz?HA62i*?QX%Jf;4}{{07dDx-==({_1@mSZDo4$}B?F zHNSD$1d^{q7B?zK?K(x0mM2$b?SV%Qd{S#w2@g!+YV20Id@;h;@&@X|oN?l_n&;nZv6}m&4w8!zd@bZ`9ps?u)%$8E8_S4;4pOnUJ$CUS zL_ieYExg|-3Sb5^ipnfKBTn<)PdO}*A+`OaKQ+G$>~E}FY`z}8%He)rVAUgVQ_Xg` z>es@m@seG@cZD3wu5|f`dDy%=;D4oFbg{T_l4U;E0%9FKr`#TEQIn3Z z_w&k-d&MGF-Fz{I{ePXU2a4_6=GBk~q1hA&QNEb>Zjww+&dv>%a)mu#@5dVEKBD1w zB`jBx=l#jKc%YU}yYr+k&#W==)Q8|;%3ps>!U;1Wsw{-kAGE%Oa8c%gJiFS4sfDRh zPd?p;pC40~I{#&ucHJF*c{nWn?T_5TIPM-YkHTf4H`n6$9>lOF?b6&O6tg{%>*49m zea(rxqy&n4pjdb2cWZ(CGr46^wCM+cQ-MNf_&sDTV19zVv zrMRsexQOGD&v#Hwx=FPTEQcLla9-$z1!`A}%{eg9T}o84h8KrtO}7n2YoJ+Vw-~O6 z@bAtDMk#s2Zp~rrqJ%;lf%9*K>&_&Wc7wIQc%b-mNxc243TY>F3PcBC?(c!lLUAR( z5o90D)fHH9-#UwX|1w&IB*4l&u>y7OCxzBVuJz4w4|yL32yDivaH)yi~i-1&NsfCdzU_$Q;9FcLl3;X3M1;Y1rCnsEEk2*JwjvsWQqg2z!6hsGBL60K%b(E zUMSY&i84g29vsfCTo|*qId4o|riWhGNOTWkxSOV1OwANtMKRvJ5+mFI)c{Qqg|H`H ztX2*ib^j$kd>6#{T4r~KZRm#qO z8`~2R50;w_GOdx~JlC@C?%v&A?|6U-AaNrw#e42@pZ1w>BewI?_?I<5)L3bS) zZ>ZRmRQ36;j47?btE0W)H5x`f8gl8QeMd^y`oDt2ULNB1 z*LUHLQ;mq%1L>W2Ma3}hE&mukpyY{4XSHv3GhbP$y}Guzz3wWxJ(Cn7cF%%9hzS4u zzHquft@?=u2oy~A6TW-0oW0!dv+j}<=3h{2w>)xtNLC53H*o8C`S`U)T46Lor|f!2 z(U4of*0xrL_UPZD;i+3*ddM!)%V;>nU}(H2^RX9d$knUEXG4^UhU}E;?auFA?+ET& zt4!t4T^9O_LOOhm$w{n{{k(B0Uh4 z&Pzl34q?wBf=%cVdkSSMraz79M*~MmiD%Li7;LP^!JWj6Q zwpQNrclh4t+l>Z?c@qjh;fBd~TJY9LXT2r-8l`sTqlzb;uG61fpgzHG1(xq_M+aNr z?9nj)0-m{LD#HOUy}v9sbl;aJimd!_11BDfo3mH_8q(?nwPMLmXx8oV5TW^xQBiBF zLJimAJ&t1=tHrf5y2c_NNLK_}eHE5W){dGW{iN#qK;|Gazb%7NVF$o@SJXp>bEF=d zeNSC2F}h*Z(sH9`Eg=X zFD0f9+DvbRcT68MY!LD$Hnq$6*N)jtE|jFBOx2R-mIT@cwiCqrZzt zf@FK83c76D%T-jEl7x~H`l}wxZ!+Vs%x7BcSAxZe4UERY7{zZ5x#z7}IhVye{!{_y zqg1(D-@SXyH#@6eWjdY&NLv#nVF(1d`>bqxEC9KEShXB~ zv?tppPV(8MS4$f zzqKHqO5og~<;Gt4B~jQ2i9fer5&c5RY!f`*yogHkMrL&R!#XxnRDQrurr-1~gJt-& z(@lP!V9clSsiw7``ORV(&Q4$;4^rfeNE~mUb^6fNGS=He z?ic#)ACcplwyZeeQ7QH9_Nr#=>t}s6AZc|RX2RARt*ON~f*A0Hvm)nD9xKqL2&whbL1RwA2~`)gzOaw4 zniI@0&teN)pO3%AwoX?CUy)euA3lu!qpk`$440g+o(%ntV531n-LI2_Y*-Jq7# ztWXZ_S$MUBnp@I|E>@nnXFU3;y?oo?=z(eeP+2719=lnajtCw4qOyWtNYKez%t zYRqr;>@|UVXv?oyt1R+}?ZW*oE{C&! zb>Z0e1o^JFPh*~*Nj-7qncQ}@a`|fr>k`yn*PB*C9eQQReW{|PvHn8@>M4pXO36=v zGOf$Lj0Ex9{xr{5N5gVo4d?gP zJ&weV$)7(7t7%M|3Pzqno!A8*Woc8BoqEJa+ zY)YChzjE7r4qVvsBYBQ#OkD=QVn{7G)tTOEi**c%k9d@ zQOH7nCBrx&a~I@W9<1-*oUa>$=eU)nEtjHEF>0q);*l(p$4=*MtfZ@V%H>$O>ke(_ zl_;Ac#FHYMYCvyQ2CpbhL&k4q<-EtwR)byfg1RZ^AGu%$PrRKETg^J1%7b5OT2V)F z4U>a5sA`IdDXS8+N8oDyeVG%t&qF0`*;Nv%L#I90Vu$VU$#y3U^h(XIY?c?tS8z$V zEdH|fTtldce~qS2`rZG#)24Fhg?m`*G`9`0qD)-RAOe7jKr+_M`xGwwWmJqUL}IzN z!_thBuAzT&ScvjHJG^yEjP)C5o<6VL?7HPSl64M76~ zftr^(aJqGUO}qsZ%0m8wHc#!UPG9vPX%tm{99Y>h$+mlDXkeGqOTDzTbh+a6RXdu= z`r-zo$Pgo}r5p4X? zMO=zOM5m8G`(gC^i~RjtMdTq2+mBaSm+FT<(kxPLj~EDWWu+Kz){9FUurtXt#uLwC`m;bGNy-)cnav`D3!ca8UUfCsMd$53tM(XpY zPQ^D4K?)}#>fiP6_J%y?Z@N4?$m!wW&wzX3>BW zR2%VCgZo}AUBe0}l3$Qh6a*@N>!`4}(fvyq*HdLESU2Yj)!2(SAlexJ6WRC9RQT~F z9K<&7YsX)lrMR^c9PJ+Mt|_UruCpw2ggx5nFjS_jsXp4-FMk>Q_v2X07}dqA%uFH5 zO0pEBC)V8vsiMhCoWpP-;2_c{f)v;wX>e%pXPjjV>uUS#Xuoo9vsR{=pfM)=Q3c}p zys`ABI9(+hJwyirRB-IoX1R~PXlHO>!m0~SK7aU{jtd2!gZQ67=KXepQO!orTlTg# zVn}G=E^)W-uG^1*j*x@n2R*o7VFzaX2yCwQIwxrTnD4?2+2_kju7` zikV!S1*4-z=~JRFJkqm?3M9ymuj{4ot!vvRV5!!(N!{EMwN>9}1Y0u~FlWQI}> z%RihdOnCK&uQ7lk$9ODU0-k3cb3F4yaWYL$!%DdBa-#d+!@d6S-O;{R9_rDw2*V6| z9e#r4SxT7iWnw&&jp=&=O%$$Ii3RJ8obnIAr)er_ziGD?y-uR7f@t8E{n%{2|LS|~ z(fh|UFONp$>d_vC0@T>JW>TluDOGuN$h1?q={BmAUj^bn=uh6R^Uvr;(*&I2iLz`P zBkfmKtq6G6P>t^`=NAva7i^}d{GvX_a~b5E_XDwUV+^zM2HWZ8Esf~ybQH4W`6K17 z7z8!$&vpL+ct^tiar>pw=zpdKda{GpfaG`Wz~gqb>*=#v zt%0m4R3-;8hhdFVn#6n9a|dd?RN(eSObGhNd9UgUD`jCUHs-p1KEPdBTwMH0<1)*z zi1;ckBekko5?l0Vyd>{;#tcU3@l4jDEb`@XlWQnzE#o#&IaFHQ5SWvfZY$5S>HRUDqSSHnTY^>rCj{zpNmndW>^*j8pJ=44UB~JEbqKfg9bjja*RO=gyp}u^P()P9A4w2 z(Haiuk^n0wBxo6UvK<`JY4%;?Y_XG5Jq6Zs4yrAt!e-N}6F<-yf3_4A zJLgks5~=GT$FXYVt!`P%RWRY>K!Dwgjpv|zcS30ZIl+UNAzAM5I=?hrj^kU^cIVTf zal0(_fSa7qWiXQ>7p9$&G9+;aH~`pOfc2R_`xgkNV6%7gQ?T2Tnh4&qZE=cPN1Sve+C`;(FHkk@5n^y&N z7CV&a$?vj=FRo(3mTqT&V|#%v05wCHymoYfzjBJk?TjvgIgZIIwj1mY9_jLWrs%33 zRNX+1#;F}$OqwQ7`ql1+0KMYTM!EdHh49Cn=N$=(HmRC=1;&xO?qNGGr0j+?%&8Oh zOEv7n(D1A-hu3gADXw*fg3D7@1*S-chjJ;a^Zz`D^aF)78e$u03gFr-Qs=e)>K$SP z$#%+CEvHsNt>B=F87lGMSo>WKe$Mt`nEM=K8flt=(&YnmDVjElHCkzLMbQU#B|=KH z1j>R}G9=7@=ueUKF82GsNImqN`w%8PujYRz@P*PPE{%08DNKK3`RmFHY5u&A;G$-TF1g1LQ|#EW|4pAME)nmngK_`Cg(i<*ZC;D@=}ZltfXavcP# zxaoD#ja$ubNbZfU8B*t6;gzykp2T-8r<-{a;^va-sue(a1s_kzECDCf$a>N}7_N88bWoR5WLhDg`IMOsaYCT<&pL*Z5;M zo7x@A8Eqw#Ir-^<@`?bj&_Rwi%+yvTEsc#EZrlfU&w^G=)h)$0gLG>OsFzx{mCYh2 zW7c>A6PxsvWb~L!IvplhI8d2-1f!A-IdAny@f~ECZ!{Z$D@utaqMkXv^#n<$r3maG0u|Ug=NcCGU^<2`MkJs&QA!2u{UOX}pa7B%)#2CL z8Q$6%S+0n70+eG(ZY8xrgjEI8{f=nz76oMKH>#8Xzc`uHngPR^f`YUv{CO43FdGmO z{+vZbZ7QW`)S2g>gUmaLS4EC#t*o1#=`ie2AgYD0%YTVf#;jA!XbMp)nUd}y9Re7R zo`A21G!+aj*qJ4@o%y7yV~=!0q<2}?Z0M#pOgd=7-2cYE(c%V_O1ghF8VYLeyRBdV zT!OjQzc#AbJWTalSmR{+B49N5qg;5^T$rAx2SR`NNmC}%Ne`qLrD&4W z6=E+h`wCUio$_!{bP3|?;-DB%X)3HGiAvtWxOwW&7F%X%45(2+X^JJ!R+ATtE1<3C z>T1AYd6RPXNIzO>#vl}N)}jGG!D%Tx4n(A104ZMPSrng+Lc%oORBzoQtNkV0M-`1V z8A7X0fG>y+M6N~jWVDw^N>Oo~dE4d_wFyIA6W(-sg&YXq7K-cik{AYVP4$=#r_xZh zIdYZih>Y<}YGoDIi6&3?bd4my(Cf3_EU}Xl!Owt6f;{e+W)*LglGZ16>aLJ~df4G{ zIBn=ckOI67yJ6?}mByuWFJvLcvKFl}&ySMoy>{{Jog{VGfT02XI~P!WJhr*eloev^m=45`l=t?`^LNCCF$$~906G|ca77*Ycdd2o3q5*mbkav;4h z^?}~-S1aX|p0o$=zHkWzx$7dr1xYsYU*dk6`HuQfE|U^5e5 zZ`y@scp;FvWK=Se&4{pAoe%RhB8pY*EC2Bd8?C z_;vNS&>r2!ur|B)7ss!c%=1fF(f)JFJZ}d+S7{6nFD6PK@nOQYCYDqr(ps@>3u6t52q?XMp4`h0vv#2-C<3!6G z)t)9l8tPUZ8ecRp*k<~6=vk2I_mTO`$$v;ib^CuIjp+Xb6C-4rH=j=xrhLs0Kf7egkz)X`jLHBChU0_kH7gT`$mUZ0H!7&6(t0? z(VP)yRlnsglDomq3mDUc8J_e1!RLiu#%dyASp66jXylEkABnvmE7+#Le>A9i4M;{= zmhbw!o`+oo_dI<2?2We-BeE50%mPp*j&0^dlqS3O{oTwX&nZxYekMq#$Cb$+jr~;$ zebFfQktv3Q2qdzoqd&E&iUGhVuikF;>n3ZQZFT90(@j7SFZrF6O$bf>02R*1=oME+ zIAZ2I7G}lmh|B2-7B~DYZt(C3z$A-pk0OOaK*%Np4yD=e-Os$o=Mj(-XtdliBUVT26Lcg)C7{=Pm+eKgCngq4oF1s&85@H zqL+tAb=HX|uu_BlV%RSV*lIh-0qdB3EY4E0U?!LMB5#gB86{K5T~QQd!_?!qmWEs1 zRbIW4+1P3MB%!?f?6mUjhARXpxSHhBa@97OaGZ8s{jhPk5l(BkLnBx1h5;;3{xtxA zCHzy<(;V(Amqp%<%>_CedwMbfqo;A<^?D-G$A4vY?*NiaFMjkYpFaucrb(NcHNuU6 zzW3!Wja9N6P>uEEjT4D@%`mh^fZBq$4v>ysx9d+O!Wsy|p@IB?q(jv}+SjE5$)VmX zY4)&R7GtEWM+3un?&qa>n5@h$`_#z~^=OZx-!W$K%wGv;(3oh2}dn2-8r(t zQU70LB!=r0ps~yoWbgDjhl_VM=~**IKBh}NF=T8H{9@{0uLe1{X|6(M&&&RobJ@4j zs)Im@S`S_6ZOcgIrzi(9Ib(dCNu9)>WE#{q8J8?tze1pRaIv(^osA~Io{a0Q{i#xL z4`8Qd+y=4{^4#ck;a}B`K)@TP53n*O zBu}kQx&p?qS(!nHe9O#^@VtNiBoRXn_I3bG#u+hX>qY9-@CL4vw-u*Y z96B->zeYB2fsXH-!ph!3`|A8mWyIw6*2OYJ#YTl%ZUfd8cYzziyvl&?Hi3M6!gCGq#gQ>-4(EH0Yy;{??(iTx(O?l zDZKJd*w}`^{{zyP@n4X}dgQ;5Mw34DN&Cp%1;?N@rt4R&ze#6wEh%q80%@l&Y zald`OFQ<8cs_M*qrJZ@eml4$xSmoI{)M2Ll2SPO0gO6CKp_q-|NB)(U*3DRQGaq#m zS(8g6Ry3rGgth+7>crl6yo;N&@=OTTPe8;S#UO0*e=f zBsPL2xa)ZzzcY5fY)+oTnWgx+7+v^i#lFxu0-d+K8?=Nl4T-B>a5J1QKUSJSKQ#Wg z<5qIY_O$mwyy7Y=ImR^Che-zjs$YD^o;3I*i}>XP@9Y7&NMs<#$JT%bc=Lg6q)sd} zhg}TaomyZs{P12O%j0+97qR@75$i3VtMQ=u|0y}rbTdqFttcVVWi#{v$(N7^)<~Te z?_%+m4S&s+M%0p*C0gqbuVvJ_g;L(F`sv9}YqFC%6%Uu0&HaT4>(#|`8wDg2pCt3f z{B>cHzf%jLJ6*L%DfVgii2?%(jV>P!X!2Sd>DLKVaj++TeMlH{# z6H7dRYK?1b93|Ch;0RE6rEPe%+U~1t=XDO*7Z|SlIdDvcW-p1+<`EzE!IO@-f!`lP zTevC=LtR#M&!H5}s5kYita0H3p`k$2=mmiERbWX`jD1awzkm*P-ti>gJ#r+fcf6g> zdxAw8sUTo`Z*?`4QQ>h@=+L-U*boNeqo>?75x<>v7GP zh*WmXEjGcTGpIY6;dEv~$lErL#sbG$eq2Ct2eJ~kNU79Gd^Hq{k5Qd(+6N2+l-%$C zF&<#9QEu2^CCmiiyJZ;V`d?jnW5(2ZwWmCU*<+fN({oZ*uJl+4U&wDJYiu>w(Jt;t z>0l62;h2z@s}pB8cDBloq=Y{y(L zA+He|iUxxO>X}KP8Cf;QbdLki^<^kNsb{`zaFC*viK?clsW?+RgKL{-^74<1RacRR zVQ#H~6^1`S-7(_X)jY3d`y(;0kFRJ%o}_~Oc3i2OzuUJUC?-KY&#>QSJV^PR7;I!z zFF@94yLD%!xxhAcD;jp~#G9S6xn7mQ+kFZWt_`p)`HfHBs(O+8LNK;D@h60cc8jvl zLJG#=ZEWxQ;?jbIK^*bJWy!}TKllQcnn=%byB5gz+kr~mu0Io)}4Zc z#Q8a4BW2ErxVt?C3c5l!ZoG?dQH2Tw35lKnEwRjOe5K;O)k{;t%v%c}zX~rspRqn$ zG_t?5Cbk3hNGOQ`Ah`Mo!FYE%XSwPufkc1XYy_Ja zfb+X^9BPLvE`Z4VgKHgJVjey|wziY=>c}%$JB7~vd7uroelq*;GesSfFBd?+F1BJ< zk&&BwVQ*{cuCe^vQM309?89Li z%_SKEhF}LP3d>wC``(Z*t!;%F?zofYW{Q9$$yFzt=c{2mRafaR38|$@x z4INbK+!6|AAQCiveUBS5^7AhdaY_4TK|J^m&HOOf#;=F0)6voW+~1cZfudVdMg}?H z0M*|eV@AOV;?8AMU2Q@x;l`E-9V27%=k)~bj9jBC(uE-c0%%AD7QryZ_vq9K-g9Y- zX?DQx%*~2I#uf0OUvMy>|Gho-Yp=Mr^n;^lcAiihM^+c#=1U4Kmlq0LC2G9@uA|Er zCj4>$N5v6+HPt~yI9q}IeYJ6956Bn%(KKJN)y5b8YWb@Rpz(?XntDS)A}hH#xY!^} zcI*!B&PuH^d7$wPhTP}Ew^2B8?*hXpv(X z{RZkw5_*B^$$Wo0l{^N1Gd$yWsM6<5g7^I8w^k}w(hc930CW{OnknQoJd&LA{X#xa zg`NF`fK8=!ECSF$yRmv%qP&`j-?C<1P|&MMRlh;Z;%C#- z63IeQQO-IF4La}EfDm<1}g@#z0yFa^X0l?y!^5F-Q zs{pjr0#`#HDC_12vp?$+`BJp!plOxhYZ=C>CK=bZNXtaaB4ax5E7-VF4=LdWhp^Qe zGoscNu!3u6n}-_4T3;Pr*Sf`WEtp7^fw4GAuH6D`KrLAwHnEcR^S)s7h8lj1I#;uK zelaA+TjIp4hy>%*9o9D&ahGYO(%x@C`QD-gz?a@DH{L-g1^3EO zKYy<#msm#AGp(3kpY162Jh)lKd(m%%_^86D>x{_V7g^s}$$k_&17SB%`XYeg$Lq0A z$7@5bp7!~8z!l&6@RUp%am&RhPuK2XcJbPySEhgE$wS0=#E zfPctYHK2+#w6p}^xn$Sk__N4J5>qgmU-EcYR_piuW}*| z7ATJ<`S9VxE%=~hK2we4z4#vQ8sS1B{S6mXIChGprna`Nr|0xi9Cn<;96i0Z+T`}T zzrT^|3rV$p6)orjrZ>n!un0ngOZ? z#?PtpXyI(Q<&j2YIdEH1VLk6ZJ%F`t8fD8Ul8D2F@N|cw+1S|r6M#fOVs8%h0NKqb z?(j}`f&(|dyetR{A;^?UE)cy8fZ?l|XM})saiGL&yf!3Bs#|~;rIMn-h&*=!THqg->3bDi7(Nv@ZA>I`7o$*7o-HFeRg)Mv-4oQYqBoRwx1Y0odHxB=aTmTFX-^IoVC2H0z>^_-qI&3qK~^cAb@rcJysl5;lItn|kO!>fWFAC* zSCfsWrJRMc&(Iw1Oov(6>+*tDUWc|eN>-1RKa9|FtI}9RoQzT`hSC^L31ohnMvlxjbD#X zhK^|kFZM4g&-psdGR*$0IbuF&F%{+8TZX0erN zn`yDvcV#>Q-4@#P3j$$^8d}rA^GMpn=zS~sNmESNYlm90gq62}*^O3NKO4e_ri{SGDR>51tjL$Nsmd>Fpp_a%bPQM@mjftfC z^`_xH%1y-;(=T>bC&Nc*LTdj7GnT6-KXc$K=>l)Zv>Y50 z!8#+I52xE&zfcVP5P-`he|ab@Avxi6iigj?O6L><;&L!fTH}?wNDH zm**l(ddflL9;Gn7nDrs#A@Z z+EE?h^ztftPBzTbi9}qJ#;3P-YisK|oxHVY^YjLYsDwo$b3>*x9{Tz5#5Z@33InVdL!e0=<^-w8|+wbZdtM*`rVGzY;aZ`&<4 zrPoUI_72YiqaUC;1!bfB*oP{|p<;C!-}|?!XUaO1=0_~n(f9tTKVLQ7a|m_AEyiar zA^ud?RFhJ(;7o<<-)sVIx_-ze3i

?)UzF0XQ7l255ZF)P9#+71Qx^X1X5YxBGGh zbGBdy3w-5R-2pvZ}*(Q*9+Nt0%!jrv5qCv>WkR{4vIVLYIiN<)hAh zWLJRWIhIysYef5K0oxD@U@a@@FJzKyyBIBN02rJQrM|8Jp<>G*3!vs&C1`6TxPVS5 zL{{&n#R)YC{pET*!Cz#Xvxk3PsqNrcXI$bz2=RrF=F!Q+A&O2!PL$?(eHlv>qSqe$ z0?7`wd+kBxqi&x|fR+1HIa@z;pnz55THUW$ouEQteb zahx;(BOKf8Aj8M6l277kP*U$@JzO^Q;id$+#B33rY? zmIIq1n+qXVuPx2-1HzL+s@1wP>JYm6AEXsb4kIX-9&5uCl12f0 z{Mggo?Gwtv{x9qiRNe0=jELA{HRQTYtE)F-M4HGxL)1RHwi9~mxK*B2j&Oe7qH_}t z^q=Z4sR1w>^`bgUSWdbH#nu;DBwwTk1uU;|%trmbELB;WGa?S91E%=9;j}wt5g()# zF!$7zmD@5zx_CY?uV9)jo}QEfTtP+p(q$dxbot-PNo{s`&Ks8!@lMiLCcEM+vw2^4 zSr)_<}`TR7$kM4Qz2TN+A7|kBlAPS#F$X|MPNP&NBvzYkB!O87%^Ap!LnWo<7i+Po0hS1G41ndd)Va9aGucEAQ8>3O+x&sRimBYzB1 zhSXLqUu2N$n7#4zGj;yMK1dd%W61a|Zp&hS&NW#wwldKMDhFf1i!7kTJ0=3b2ZS9r zwy}OSJq50;%SUdHxxrMy2&TB;f+)pArK>`YZh31Lb~_trF*Db`_DU1u1hvp8oXCwE zg7`q8q?r|MOFbZ5ErbMIpUGABwqP53p4ik)`7C_5YhlJBAhwR_pTbCsm z_k8~P`_CW$P@y{*@B5V)ibN>tdI2nX#L$fDk)@7!;EBMWOUuCfnq@xRL z51T!InHH$W*>{h-ffD=cs7$0_Jhge7BB5nPd;Yq5%03?!3YvWr5ZKVm$BDJsRJ9$ z^DF*3D^L08x99_at0<&om8rFSv)@{j6qQ+@T~WVYpKj+>HEQUZrIc0ax8MgqLEoNO zrI;eEaNHgP4fs5Fr4ik)dcsNOmxP2rFEH}$DFba8kVT6GNda($@CB+S8tglN7Tv7qn>x52o#qTc zAfst#lR9SEi|XAnu}%Vm$^b?d6lgi=C1c}?0qq3@p2NNVS%hW4K6*YE?W6~Ww}H|e zoB~lw9E|}BYZ`k|L|$^D(61MYuB%(DDYkV%0qd5Qmf-_Fy3b$!y*h3smjL9_`I!U@0hfL9H&agqc0GO4ZZ^R-`bjkH)b#N37(*f1*S9m%*aF|gmoOt~NC zFBWB|m$@hpS`LPtyr?LvC~G)AzD!s1$mD0<(?I@Kzx-iml(7lhrbn;e;0H0p-sr+k z-i1r#n@zdb7=?n*vI!REo+CvFK9VIpdFGztnZe!kGM%1-Xzao722Cw9Z5Q)y`9b|7 zgLlU@^|M|{*_K7^?8i?xqYx>RYdgP(hRPDBK~wi#5(Nn+Att7tF^!O-PeKc`vyZ#& zC{Fv#Cl=`G!S=dzzPxHwP{d%%r2MN0BVD(O9iafcsXunRx-!DyJ``=Gh#pgsY>OLY z@vTLfVMP)?DY{^p)i`xWp(No-7C7dZwW1Vs&0Ex?rawZ?>&#n2j7@JnuGxbA3U1PK z+NM>G7ZcP~99Z)^IQk>z5k2;@jA<9DbBtiQAs5uCJIhpU)AD2v!7%GfEuR*!mB)a+ z9Af=g9OcVVc1 z*zU#wv`LkF++C@4iXl2YGz#f z>gC+s-LVIO&W@N3mj%6fkUN9TDgTn$)+5|6OtJPz>cu?LFf)30fA{a@ty?W7V4|bU zd3c%-BsX{uT46PE@+?Tzul|~hb%Pv>F{V7+ga_u>D^vb(#582_K=u* zzcJXC=kUP3)j!rZrC%c5{)(ilUHwUS$k!HMCTP)MKML_lJx$m3rM#jmEHqsHN=890 z$xPS0sHvmrb>~wn>q5VN728$=`kpYhyV3iffbJP9-71|dQNP)e-VG=LeqxE}Skxog zqrEP9BSxmN+HBo1e=R5cE)&MIepqN1bG=}}I>6GLi6JO~od8RVk?8P!9M z0w7?L0~!|!t5S4}5{q)4wtnUa5SXKT(p4I}W|#2l7$9#?+LKaEthHRS1oNGQSnQdSUg2I-#%~sEbABM(X4u4uy5N+-kdtm+HXV|LN^=Dk1d+_>QYwgg_=|!pZ1!&8* z2unukCh2@JI|uk|yGB1hM0&r{mRht1b1?yG890Cw*UE z-5)va6Ql$-qQvhC8AKIyq2=xNx9veoBbNoq7_3zPPk-&}+(F9s6N9hH1m9vdeDv<7 z=e}6BJI-pYu3;dxlY#QwxUbB9f>8wvWYkW}Y#+lu#B8dZu`d2`Zpm|2 z*`Qs-Oq0odLCE#$a<=Q5<@eAr+~FK9$MM_BA~oE{$;c~8UAeh22 z;zb9HYG$3rfOyTeS?N+Da6Z|fC~%F;(?g$MCM>;dT~*+Z7HV`zb@dFnWHvf zL0q(0HVRGi#we+MdTo^0TrH^HdbuLMe!D^UE0DE8>8a44IZ15#f#tzRFPO~41OuV{ z5u)<2QbEh3QNw~48Pn2<4oL8!Gr_wPtK*~gkPpSD+qwejIX{Kq?7y}`Y*SIgA3uJq zxV+kODfMX1z8bR_mp!Krerom$y+ZxEE{;qB>&Ov_;ho@7eN_lfUFXuiSx@2>F#48) z-cLVcYQpd4I%b`up)K2Q9^Ma1=!W9Ma?h)So>Iw9YrUwYOGSRJtM%6?3cy{|W(LtD z;NLCM=X}-`qWBEANSx8LujSck$CjNul77{O>~Re-;f8W(kr=Ybof zWbZs#(Y0LFNQ<03$$b9I|6%Suqnc{Fc2R7I3WADs5fl)G(3>dX!zj?-syPg%b=cZ95qI$xVTsa5M!c++JyigkO<{0YhgXJ=SB69qD*mXL}(C7wN z!@ttdW*^&F;8siP`*$OWUtAn;+6v4GG?Is_^>j9jT~;wtv{BXrF@ewU%99K6%iq9E z1VWM%I_D%ixT|T<*hRZRpiSL)YF46!}CXC^$KfAFY;uMGVw!P& z(ak*lD#u@+U&fYzuL79Q2J3YvNxqjIwWl{t)yDJ{2o`sByPQ_R-SsjN3{}2;% zkA>k8+|BpdD1a|2Q>^k8L7*DN11ehy*!37cxM`|$=gtAVJh4`#SEVnG^Okm4 z7?}HP;__{AYoX-Z#x>$JA>e95Efwb1DccU>#c_H(PbwWPKc#U7r4w=JL*&;0t6Qd@ zea<<=R>Wpd6V=u18{Pzi;eKWUZvb&Z5;P}TusaVWxaL^{9aiQ}b~kOmKuI1FbsoS> zK%8;>nJkM|ueaArLoZbKYxMht-uX)h*tiND5%+*ZfQU0NoUZo(EuBOONVXZSP{btS z?Lzk+IL>0Aiv?uq6Hpux{QAS-UO86inWN)2;?`iK?N@QTG+=`PGf|L?7-3ba=84kq4 zmYGiP;ZM~*)e$^t_=#AWf_^%2z}Rc8{i2xDnF^|T91t0MX*LFbV6d^$}3bp zTsJsBPqaNEctpbV=EOgrA?a_O4w+a;TxtYH&t~uX@%ljii%f+$Bw}_6whUy0oG`TWb^Z#kw7SI_I*Mk@;*C~z#qSKcTePvW zfK#d~Cp~$N>Hb}P{pr-5LX(ZR2}rLr`0GbV-$ zTEde*$#79Whxsl=N8L{yKxaFNt=1*rg(%04e76Cx9Nn4StH^&Ty60qb5Ncj|E-EpX zp8m9;(-O~bd5(xeYApmBMQaODt=@TqUZEBtubM*NuU#=22%D(bNckpnErRtpegN7z z;Tlw&vWBX4TBBmq*vW{^oCt(cIDoDrt^ff7>~muk!h%esg|%?&?wRAqU%u!qDZCgR z^))9O2{>C>Xw#Wv3ESne+6qU^{W@1Af$6W94>!;V{_WTkPM2Kqvx$bDxUez9Ug06 z(Jmv2E#H+=N}K#pY|A zu(4ns49SVvC@A_>ps5GJ=10z;>513@{#;2^P)h&cm9Oux+=SAz2Cdy16uB&9$a6QN z(9dG~TGNZ@d%l;qP>a4T`7PAE)Yzj6;ed9<>(;lu$~;pe6#vBDGkvX_6kPf?!qn@( zr}~~vT9?AoVUc4$RdGGxjDu^O&z>hDLp2C==q-xY-l8}|QLbo1E9QppqC$k!T+~i6P)`Zw?Vhujuig=;XU2|w-#~=<;?=7u=4Vjh3 zc^3K!AIu!xIgFNQ6%?c>6+LQS5~fXUd25W5qrksEZlr^Y^1hKj!mml4Hj|zV3lxYF zoK0?;eAzUq^NieF2N)i5vNDZPIn1X0swZ+9k%)B9cggBuxIwyJw7&+v+b%u?oTK{Z zdj}xWHn)W6E<$y|O*(luY{3w1+7lSHO zOW{0p2?~O>90$Hd^@T8k?ivMtW}QpjMtB<2x^1v9(P!ZvX<;KwNX@*RA5)IRZ@h^9?i<`S57`>Rc38>1?A^8J@%?WVHRx5!0tHv(6%(KSumRMjJ&vd)R<% zrXF77j&nW+fR@imy%7F_Ck>v)ef3)XV58xr3C^)+7H2wdN6s~=aV~l5wasmu=ezL{K1!INgid*Dy{*a<4f9W} zO|_M-mvp;mTCJ%dEQJKY9U)PtUK9|8%&#OfW&Fd8FL+HEXh@Gk2|88i0T>HrLoFo7 z;G74DffOEwM8=1yw+XPxZ+3sYA&=u7@I-LuiV~Ck7l|vu#KfXED>a z$;ri4_e_jwa3^PQExWHx&3Yq8$0=*00PRzQmQu!7sIPeA27EHRQ-uWb(j=AVx9XT( z>fc^PBZAjgN)?>Mn8b0O2N-K+IrT_^qd~>cPO`Cr$o3pJpBfEGWvNN@LzRS;)^&=l z*Nah|Gd5b$+egvMw1peP6NkQD&rn`};)I0^2j=u&&N`ky#%hz)8MW%iVo7^hILj&$ zn|+<^xS5WDtHq-IJ7ei20LySFM-?~0Dhb6nd>gh*M+vHHIpvIT1=c4wJYK0#&&KyQ zLPB4L%D;%;_S=q%{>xmN6DRNee7Yco31_p)X-s%{qx8(sN6xbv&e^PJYrTbGwWfkm zkauf&cSLKwMPr(El)EsiGL=zaRYVcx$eD5%ZvX{~Wv9<$Khu zQyV!O#eJI<&mVMOW0L*qq4@9L--`S1&qnRuIXuxhzX@M-l^MUe-Qj!Wc7X2mwN30r zPuJ;<(_L(n{kI!Zv~g*d!j2j|4k?O3f1~!6=l#z+@Xr;1YxvJae2*mk|6JK$f}TJ0 z*`r&p#r?=_ZZ{yzZo9{2$cbV6H{SpIioclY<q~vz z2-`DOIQ{tl*GF%;I=+j@F5wvl*%EVwjs9=-cyI}nGKNk|w zI!iOwKH50w7h>S7wYK-?y_pBn=b0~y(SaL#mIvDZKMy!*Y5&jHO#Y(!_bdKqxxX*K zS^jPH{`Cz0x5VMg|KAn4S0pZgGwA(&h!ReKC&5$3 zXgAL1mrMl_@9CU|Ft#%r#l_#Joq@Uv0yWE(-~KFQOz|`_>eOa}^xtS{)VQfxg07kA zV7li0;ng=Qt1CwC%ksJ6-g|<@h2REw|5xqv2WRkjCnGeu4mRv{Q`o~an0%y?yKt7I zZ)roGg<3|82{SY~ZnVbmYWM5$&May(B|kV(N$LsawvgTp&OJ$Be{&{fZdh7lp*x!l z@$q?2YENqQZ^Oe(>jT_O4{vz;+!>S*|K+%vn1H$-@vtkE>M>j%_i!TDCZ~T1%|Gfw z$A$Zw$%n*v|N3!=zFv*31paa)2|BJ6uJ(PF|2F?INV2|6W(8)x^XPMY5`wQ(#X~3< zMXmFHg!_jvimGwbjIVjJzPSdM2Umj;u`^*!lT)KUH3{(DpSmD|UETLyb+3VSdT&Nw z#0IQ$*J=x1II{WGx-N=YBEGgR_Q4W&bo8XvV3j$XY`mGkWrZ7xcz8WVk!5i7U1F{D zd2}#<*YJx-lFvWuYFk?SJiEhdple1C{kkrKf*+C+**-nK$u{X^`%%G)-b|dhn(p7)&y12#y!J6+aiOATF$sy_DA(_Q zxpz`FnO&;6dy1`E`YMR4m?Oe-^g~?C%cjMK&%apWqnOz*-qd{|PewA#aJ8usp*yGE zOQv^R9`@>kFr^HjJ?t15shP4yLEm%Rvi+3HZT$;LCFdch@}=d&K&VIupqcX$nGvF{VoY-j%e^xftzPO~kMbLu)agxi zuQqtPwaF6Qx)8McDWHw9g2^vgZQ#mL?GQ72t7M6}Vw%S5(e0~{MN#AYrk5H33%@tS z+@LeA4(dhfY}SIE%K-OjlMo2nS^eLm+N?{aFeRC+;cWF!Zd6J!Q=dC^UM)C=sn}7a zq*tzTO(&z&$csun`5e%G=1=o;(RYG$43or@VCvW zPxgzFm$%wt2V&@9T2gv4GXVDUTEHpT6Mzjuc0SO!;{lfKg^~k-ij4Gvq4wQt%=u{l zD0BM4irerR>8A7sn8#QAHu>E`fXcy8YwFf4#ml}3?B~TTfaEptbiTN!m$z^b)Nn1` zegrMq&g~?6UdM~=(wR@;N}#hi?{4Xx;4Z?e=3O{@kDG>HP#FJ70cJHpPVTYLO$}SJ z-dE?|;5;8#zxvKzDO`*fom*N+6^vL^pP8L`-)cs67PJH6K2LO)2X(5)wI2dZk`C{0 zMJ_YlLy7+5GQ1a#{ z-EcPCTpqhD&vWs}R*UgT7ZF{|aw74us6JHXmVVVY`Lb*NBw8ft&a+b|dNWh$VG1`T%ccX6e2-I@q^n+LYIGE-@>C%V6`)(o4ec3rl#}$|tak0VWsx z>W@KMuhfywE{qHYO||ZqQ6-Fw%>74Or6RbUuWxky_R35aGF^kQn>FF?n z1)u|{39WLn!k^40u?P|xw-fJ`C6!s|y1gPTBbfu}CN>Ukli85{<1ObZ4Kq`Ui%Yz0 zdw8C-gWe*r>BP>(J1g^xlXvlSPz|6WUufZH1*10a{AJ$x7;-sf?ey{C?d;3>Hy+;j z8b-GAkrgvb5j_Mi{Vl1b;bR|#rGkb+&X4NIW$Qg=diVqxN(5M(S4XdVewB>SteQ{Tg-B}Vm$T%8(pDIm?|NY_P|a5Tsqo7aRq$V-=3 z?`izpAgn+i*TGgG7(g9mgN6l{0njYK+Poef6)y~*|Dgm)!_ln~8KQ}ta8e9E3C~K= zt7=?OxJf|)EJrZN&S~5!a14qC1&NM<7?b77++BDa6}B{&Oij_(+h=!DegAmYKS6}! z->@ltAex7`06@Q2(4qx<4d#>M1_xLll8*=`c?ZL*Ox`{&gx!ubhQkz287v!hupB4J z$rrkXrDO}JYL!2FVdm8KyvH4fM;GF9pTp57@7*n!3S$jQF@h})ifc(YdMKp!ug|h- zw+vjeyJVt3-7S@i9F0w|5coc@Mf<(D$>N$ftOKf;s#CeFALyxM(0&8+Ry5NP=V%Ix znO?sRK$jppKkgr2(tozRzyFZf%EY^Ul-~GEi3*85z;f$NImMy=Zvy++mlej=&0g(wgwY6;i#sPi1ZMikqPQx`9txJm>-7c3-%AE_11o=CFPLun)0=BSL4C8PYk0X2NpXPj-tZYi5zL{W zP6fxMNFJhsl6Y4dj8$k3GH1~j!p9_-{rmr{JK_o1DxUYH zZ{Wl0lX{t^#C zYMsXZRla;yfHCK!Is5v4D*DUFxZ}s-9HMN2o;UV>`t-*V&5yzR&oLIdV!1UmRu16i zfRvb1#2UfGI#mfm^v7TlQq~ou={QdaHKM8%&y`a^2c#b=X@d*l_ zlEZe;oVW4qzGhQ^qktgk+&bcZNzIj4va;~yA7Wzt2-COX7!8srA$R0U=@{3Ft!vY? z@A&N~2F%+ZY)bgTIc3x%i>m655p#e*E%CnH<^AjP;A*N|B>F(+M*jW&ZX|iq_tmEo zs1bL;BZ1TZFuVBY#0hT><_@ABxfSEjt)yM&bbTd~g=izgzqo7++l!K7Fns*tK^^19 z_7Qz4Gi8=P{XzNC4VPa4At5@Wo^2thI64xVqyWs22Zn`=nCNpu-;RH%$emKsG7M`U zlw#?D+1Q>aY!3LkU?Qtdy~o{4^JQtx$f%z5n<>BQXk`FY2O&sVW{~-{aZqU7#nIB* zW@2l0vSa(%(`Rlj<2U)M+vr-)0gZz^ugl|lMtUYck}wZW{<~On_vg~*zcIX|Kf(IR z^{p_Y4?@<>ffugZJ|twmwDubA0hn6!%0wIaAWlt zKAkx5Mep*xHj}h>M?h(kj)}p~`ykK3zyWEAiveJj`-4N#`fBN%XFd1#-A651_xBH6hlCi%9mT=m5(2Iv-m;KENOPZ$ z`YW|t9P4G5RJe86pl;W!jR7iRd2boB(J=mb2B%AL_3FJ|jxfva?gQ|AMI442M`X#Y zj_o3D_?MhKZy&7yvDTRu`<&*gFSkX>H^>8D1qY)Y4L-y$g3>#W3O0U5%UUdlF4_j^ z>o2gnblPuj_4M)na`SU;S=R_N$=->A{PBzrZ71wV+n$oJF# zS0{#u`9mE7ncv4hT7Moxyg2CFbLaobDRN!;@6@Rcs4lP|fQ924GBOP+q=?(b_g}Y4 z%uJ%L{dbnOmmD%npsmga{>kVDLzmHYCZj7$_&K}ML_+OcYF?ito`xp)J)2ThF;M&fC%tZMl}(!3ZH%>` z%$0i2M)sf-5zN9G-~mF33cmY6*ScqoYXT$WVJh?A+bxtB5y>0vn+I@1ZTR#V_2kj5cp&8?*bI+=Xbo6;q?X%!|LL)h}(*UXt4UFUG z#bF^Pr#_28FG%LnW@E)MQ9yZ*~4%pde zHsvH{?xE-Dux-Gro-9tugC_GudN_>l{|QBD+j%?IQ4RyEXn~iT-hP z^}l)n@}Nnzw6rvKLIdA9-*7v#D=0eD81W{bn*fTx2TxNfOLY=*0sb<{u@m9u^zdy1 zw!QAmjfAFx-f~C=77f_;5dW1)rjkik8)=bEolRy_0w5X4o4QTNc<2FAad1vDy0D=F zQh*o8PFgd7eTCo)ORU{n+Sud-qa>N3uwYPr`bnTX8H zo)?#c7fCVE3Wk#pc}@hew|zc5V>yKHkkIj_{QIYjmy-&6bk_=+r_K_K48oR zq+xbEv{u2zJwSt3ftDY#6P4i#Q=}W6*3Z8D=Du}eARrba@?g|j6lW%o1#L1LjVn9X z;jHl+SkK6+th9H=Qj$U3gxIUuG+J=4ISy)4%GdF?p3CAVk(8k(9C@Dmq)3~r@`Z6m zHl@x(HDR2mN2Kx{v!@0$Cy&7>zv~)>N<&&AmuxbX-xs4+Q*G$bb*WJ003Sh}7MJF{ zr-2Zzv;TyR-N^RIq~CK*#dlY9aEEFFMG04;E?8?qMo*l~5h3lTNL1)1JA+?de52p)ZG#1 zJ$%qYO>{Gn&<`4*t)&gXtBX;!P+CE*jLJ)aIe0&0XcF>{>BTP-jcHxU0Z-@J@T6lO zc7X1_U-`K5ql>i9Z`xM=If}MF@Jnb;NILeprb6uj8jz|$b5vq5LHqmLQ_tX00jtoU zGL$PDAvGS88?>D12IZut z)|d9eLHE^d%dwn6ACi(paRBc4b6~(~(FADSA(gLc1N=xOWo1LXu}MjRY)aV1pKSp; z!go?meiMG~i+22Vyc zfnf3E$%QxfsmMv~tN_;ygf!g}Xcs||EUmn}`?-MXrqV^|Bb<)vhg1eNx}^r1DUJCD zAYBA*4v2gVF_B{g6WJY>-Kez!=deEKzE^<$L0k_WW*%;CO*?DNMA4q|-^~^D%?-EWnD4uUY%|9v*u41V~1qtqr=kanNZuRC}+6Bc_5m6aDP@s|9ri z95R*=SFxSxlG=d=;sZjyP3v^4W!5O+p^qI(MV%V(5trNtdSFIJkefrx8X9&GJXICd z3ydw)huxFrS~m9f_5;IeJ=qPZk^7{Aea!zz`Jh^UL#lQUjB~!0Pc5Agh%+PCQ+)o2dSx`4QYNLOliig|-5FtYbdL32MT zvB3hiBA5hN_5?zEOA8A_$RJwPn7s~#hmjdOUdMt7FfzZb8%zqbgE7!9v(GB0UQkeA zgtqbYth8cILyZ|_JhV`-sjxxe_bi)IL74+A#^YLm#%vV_?>?4re^NuvKI`xEuW=1C)mLGwY`$#+ z$Q433mO;xZ!cC0Nc6T(n<^6Wz6&DFxn5abjbGv0vGh1Vks~xv zAQzoii_CFwI$b9n;+O#5PZyB!TO3HHVf&XdSB{`#5SvHf;5s=ZPu|mzLHf z3`nLv^%4iuqnt*5jtSTvIxID-RG=7lkLo1h)al{}qs@LV6Z~Ecd{s*uSXx*pvTbRl zQM&`8f_9no>z8}SRDNJQ7kxSQ8S=b}Usv2O zIW=4O_*kX--&y(eOZ?HVpZy5Av#fioDS6XFa)PIK9n;obB zHsS=Y`@!l@rzD_QiC`B2=+11E1>1=h5-AzV&X-RsPO^U7D4lU!MWzAy@ z7{=b^9K?J+q$w}HV(vR5Ny}2k{!3B5z{`y(O!b?_#WedQO?oZET*`qCZoU(nw-4U0 zXOw)Hsdho@p-Q?h7t5n#!pQw^AFF9IkcHkwZX56!T0Ca?nCRq(uk@94)?10qCIma} zHRA`1m(-{mG@P{`FaJ{h<#J{>HLByFdV3j6p?0#OlYf5yN6^>=eBf{!!_5(b!j_^Kx~B zyIfZ~5_gQ{2$?Sg0Ez>UUd#mO>+MK`8?HeI)clm=O&qAFw{PEqqfc-DM~hesc2~8@ z`jK-12JmCC>HwGgrE?p4Ub^L^Q=uR{yMb{U=n%Ly1-1Z2@&R-MEIFP1pNu?j;hZ#) zV<8hn7zH2}2ODjy2ZG$`LfLaIKa2?63ghCCyfyykl*ME{CYc?F)sSQ*{#i+5q@-IW zu@afmG}*E2qfzE0;F$#;~n;{!UtARC6`PN0i zIs*I^@CCBbsOR;+f9D#@-i}eVM$3mDg|Yp>I`9$q3LP`E{H-W~;Y7XXk+0x94?K{7 z=tc)kS}|J`*}Z_z5sM{E7fq>Wha_hMG&bY1x-vMbdZR%KFqGJu+FefxQUE9#Z{NK` zZBd}4nl->;t9LJYU&li~VJnfHL#4tSdcbX)qdg-%_c7B%-xuQ%gG9Jq2vFC%bmb-`3aHe@I9O z=vx$SnO@oy$(CYB8D&mWUwvoL*_o*;UDI(u`f+qPYV~uMK|?vaWN_;*$9pP4MFY7v zi*u#(=R-zC3wE|`;x>G2vx`1DyNre|j&g%iyKBG32CKwv6(vk@*=W4wxPin*tcCTd zd!LD2@M*ly&%hWG$(IXqSwc)xp!#=$#R_tHi0ixA>4KtC*2n(4PPi zF!>I-Y2lUgLV!FFLs%x&d=M7nUsj|p{ej^dOlCN)M>ykPK5+ckmsfP>u{MB07b9>J z0;>wWJln;=ms2D_BPA7oxcLs-HUA9=wK_JYnaNK#BHFZK7SP(Qp(eqLQ{*=eqTP`#Hn%=?_RmJcWaw>a}v1X8XfA& zFGa(s3yhCaiBsJQwo$MSoHrX)%;D_~BytIA<&Rdp8ijeW4uz`$4*B^UxMn}Cc0I~b z&qV}a;pls{uIx+_-`hFnP=Rs|oS)*0_%V0ZV5E4&eWO*B5Z-o|HatKm0U$Repaf#Ry}5K_wSm^qGC!c+*n3fT>?=G?S2?l#KAT%STRL-5r!p_yc=&4& zFHyw=!v>NYErLL=7WXg*ysM{rQKurofvSeNjdG_+%r+Xh`x1Tjt$>14E~BTX$9zyo z)4H{-Z34c9j-I~50Ej)m3ARhVfFZOE3+TzG+10(Y5+(k;=t6%N?eV~x9{&mLqjLwX7&BDTBIsV`@sUyt30YF{k^sRq=A{$#j z{xeY@(ii~Mm{w$=4cLkRADK>whQMY1Yc*OM*K!Y1S!_yQVSqE;E{Dh}3l&7%>kowK z_YX53jIo)vbJd%jD&}s^aPy~N?3t^I(Xe%=Wtct1zC-6nno9I4Ap1pMA=nL- zjsvNd`B5I55^+*%MUlS~;A4&xNwy-bmih}%v`cQN4!GwG*~e8}PeT)-Wa@#IRRg70 z0aHzD-H#tdT^8u}ZdY}Zy|SV#{dd>28fYxdZc|L~M_1aYbs0OoMMg zT=ieS2fzV<`d(k{wy-43u8AX38*TCBx8nLhYY^!;iCm^OXt2yMA|(dwUYS*GvHFr` zk%U?2+lLEUbzfnys@Zw(Mxy(17@N9jG=1m++9eN>vCofnoDXo1}pN-X8CIpn~;>#`EeHQ6jV*JoWr!tUfVg zu?7QD*u82+HouHS&$BzjE}?dzCYzFbU?=0noKjk5d+Jl^rq>}|A;c+cjw z!fmIClMt*EB%5JBCKTO%(w7?PJlRM=R21e9Hj|TCnIxX&{_f8dwkoc&qo2kAR8|8~ zKNU4@1DP^x(ZZrNjjQ=a#4p&|n@yUWjyB}k;3P8J0b`|If&%{{W4EbIW2!BXJCFU{ zE^}pW$aTo1>D$|wU5gt#OtK~YD+Ul0peS* z_B!3eMKqx%ayh86RWJ+VTYZMS8=L?z*+iTcl?^pXD^t(fA~G(9`W~9bD0s{z2d=`? z#7@VfB$cm^U#XeOb1qw%Yn^r$n-vc%mw4wERa{n=@bG?0BZmYt?{SYCzoJ$%eR=Z& z^Gr=mQnMnAArq3Z{OE#PQy2wLY!bu;+pCe|2ejcemeIoyI81HqOnAjZM=6K_wf&l3iiG2`d{$ji{IKYY|= zfh|cnji&7Ntv8Fscb=mxzV}=@0#_C&I0nngqDLtDk#hoRY0`vj&Bd-eK0z+CZSy5W z2&tqimBJ}jt~BC!iJ+Emt{rX{J||RR?s)zoPK({k5SEsHr^e&II^!6F9kA2B_~xYa zhA#?K)5>TQD8;9=D}{yp_Zv867-hFQG+yi&cu)cfcZU_gKczgLzi-6psK7O5FS%Yv4PIIGI4<^EGb&c!bl?(wu|O&{h*KB41LOSE7CdGiQc7WyQh1v zSM%~u(W*fS=Fn&>q89<=dX>aa#P{VJHjy5YmLH6mqwULvPTpm0S1LkcEt^-Hq>0gv^I@dBPaR+b{6Mg^g z6{RP~p7enU@%{p1*_e*UEAsr0TXKdy5#R}fAoB_yW%q)%DDc>r5lDT4QW^2d(j<}| z{Oi31SHNC$0RyWW(-Fb;qm=mgHrnrmLI5I*gZcBhw}~;A`?FEgYhJ*}?3%MB7()*B zIuI^+K33g=Ml`eCA%|N=3N%AyAD{^l-vg1)|LtjL=UPRNgKXE~oWh+>yCbY}n&a~D zZBTs*eQyO)*_FbV$KoNNA&PlI2PD~v0E^3YM}LbJQ#gY#Zcpii)w}J6pluY!PQrh% z5y9tBcUM+cV8=_mS5AZaM)QmwzUq0%ga)l>=89HQaE_0|{=q>QaH|A2@B!K(;G-

yjAuj9aKAHQ=j(EgNy zi1n{m`Sc7B<{AyqH5b`tvjWt)Yx-eln#%58yKi1sY(hc)5`Lsb}%JlhvNKAz55QQWE7I}Oel`?4*)wF&N zzz;;4b60dkLHOOL?1wfmBn}!C0AfP{m!meh=*u<`sZNB5j{fwB1c`@C+<5K5a+f|=i)B`Aa^%?2 z-yI_JWHWrpm+#-nV4ncmN!o#1?k+~-VWQ+4x3TA1(F7nUzcgTr>MP-oGlWoRWM>ZS z;pK-((zvclr%6(9Q(zR|VB4pdxgnG19~RL+J7c_EQ1JkH4@}{#3^0E-sX=|JZnuGV z6&%L-ywk8Y0pI~4xCOnUEd^q@587ZO0cM47eMXW`c2}kI^x0~I{JeWp&K0Uw4U=m{ zD`DJ(-GO!=49t8kgv4uuB;aY#e!cMcm7!Eywd2Yd^&$I1^RPdDb_T` zZc(J6!`a5`j&_a=N?&W#wCPv7Ul(K85VJKVT)Dt^A3U~Iy+JLIkgE)~2u)4guE!rv&aWfN5WSdu3t?^`Ihl?|u!&&qLaRp0OXOTsQOe;~Uhz5}=q-6N^Q-zy~? zkYkR()Vu)O394t1?v;dX?J=?l^%x+;&axXbno6S<`M#cSVa2I=3^MnE&IK13=k_b)JN;QM=69ylWSr;dgVl4D=OhhYaYuRl4JjzmH;qgBGN9L)IlXjD7ws3 zVWqO}$P3zd7p~O!`sxyL75LXFh%f;Tz6ZJq4iKw;hM8&NbofL+^;Kq-9jG?|t0`kwcm3&W+EvRkp653FhL3bxFahXwVr%e*#k7n4&g3jy7{zw)a9GCjtjBLY1I%q&INDj^lDJc1sf(jwor@v^4X-g zW0_8wmQBB_Ao6j>L*wTrHMsIy5v`zsWct46bTcTP*X|8B*YQf)e_rdo=R7dt8&wT@ zwg3I7kq5JK_@{<6Yj^~O3mf;lFMDy`ZjB95p_bEM`vW*dT`@-*xQH8%7TV@5@NiBz zKQcrGYYr&0&I23wgdvpM1>*C^TRPgXK>&Ycq{yWr_D4>)C#ZvLX+dS`hS-u@O@CWO z$8z^=(_ng%s4Gevf6i?j5=Cu4HxVi>eTKgJqJ}Ns%r_G|d-CKhb|4|}%D5Vpe}iOo z&?X=GX9U>zM8~{c23*=ToT5f?Tf~@e127(c58}@OU?@y}-1qXTTHd%BWU>$wuuvKg z0uLGt+P^DLkwKoB2!0O0n@~_6(7(R0OeH_`G?u;|ZVdG&9{f!)*M-RCjaZLHvuR>0 z5|Jx8XC?|#YY<_y6wTfi1dJ+SVc|5wm5{d6sFG}ce*R>enGZdk`Vl$tE6TwRX>O%R ziJcD}w`hV@to0$N?Q1Cv4@CVPne)!jr_I;v5O!JLtIjIFg@;VV_-(~$02$~K*8V+% zfkK=^%~o&to~!w*hCC?4Ho0tSdYf2&w-u3kC9s&B_$>Ae9hbS6ID%%z&KqF54&fl4uYV{!_RNA9b230 z(*$!j8`SzjPX0n;3;3MgV*%%An}aH%4wv2Py7cd~08Qc+D3r*NdsZ{z*7ESEN9X`m z8nvU3mlW{!Bj^RQB|7^^Ri>l`y9{dyS6ON ztjpsI!KP$nJ7j_iu_n^XBUh|1$z8~=*+!2{?AKrYtG0DJ3sRS>v3Bx=xev8}_ZN{; z%EaFBNHjkCPoBDuQ7>f=A+^6p09~CP6@Tf>A(&kB_ONO1Y=Wc*q?aX`4Rh@@EeF;p zvQcb-!XMEc38f4}ou2{%p3kzkq{#lO7XaVAlZ8$TShbeVcSaV{<2oy>QBWiu zg6<4XsnZ|i5~?g_FoU)Q&Y)an21*q|x}lk*k|SLQH$77vwwKM#6noo1enp1|%G)pJ z%(|WRopHoMuIEhYp!+nv#1X#1Ya z3qtM~OlQ!t*wB^wW{x*8lU8oz(Q%COaz+vxYS?M>rk``O7I;X1mUbFo&2g4<5FmrP zjUK5vK>{TmH@Enh>hyIUKtRL*bUPi55g7J&wY9ZHCRu=j!bx2uEDFie<-zXE3h=OU zXdSBX48s#$DX<9h^B$ufkU4l(rm$Cr#xL)U3 zcR6)itE_gB;%wx8=2XTcMGFOzB^Op#v-jVEVxfm&$M#TWg`y!YmBMaIUVM6~l%}=y28G6?s!-)Ur8Z2vMmD3RurkksJE>Y_ z5`*Ay+pE3Ss-*`eI$M!QY}A))#jcN$$jjBI48E!I8_5hfaNXke>ziqC-f@PE`9NSr zdOE2=U!C^f;bQFXGSZ4`>14-KkLh55Y_M#im&MAinud@{=xSq_(zUZgct0}?!v8Y( zPM=d-%WN=P*GzS$$DC7?^YpNI*EJ)GPlvHjf82-$)XnP42KTAHtnWGt$;Gl!hS2Ih zetgNV*C^<+OLO~-i+I8+%3YnY4zE$d->KMuuJyhxgQdEbt}7VgcYe>=twN78pYu`S zLj6|Js}OF&#ay|A6mH;DtA9MMRJicfwTS!Gm%9ZPw(Cm$U%$$f-_pB@vMa~N_Ds%w z$#m6pibH8=9CsKFMrTL@ujNIb5cE`xoSYmV@`HvCGBDfx2^Kh}{e+E}-f%w!#DkLJ=PwxpvhvyFtMcxRmjogU4f!1P6dS=3FT~@ESnN#9b{d z+Cm&7Nv=T+1CVrFPmBPHx*_{WEcR^T(NhT$#Vy&1m&_IWx+oM!f8_)F0uDx$oLqp> zqDZVAI}m<+NqGa@Z27+qto$YWW^bB1=|0_9#Kc2;2r_qJ!TMP63^#XP`y}H7Z~e!Q zuN(bfpYGc75X!Br6u;-oo*0kisYN};n9#J|SH20Ap7$dY1#tVHQ~ox{r&x% zyHbZPvVvP4-ZX?F&B}W%7xUE~5x*Ryyb~EhQm}H9(I41FkFhY0sOjo*8JQ2ZzPf`-pYeeN{M%;L z&i6qZfM}~sXf|r0iksu|bgfDaF{T4XKsjCzRLB;NC$kQ`>$DW|CM`8A~7|} zWE8^~3mJE`oad(_&!ROodR^?+Dg5#6%P&DwK|@JtWX%sa9`|w&3QW-n)dkO)MHujr zVg`Ag=-1n+I|qT`JM#nb@#Bc~K(7vLG7u;QO`YWe0EWdG+M=pAJpP-%faDHuAD_V=7!JlH?xG?(GDduF2i697E~o zWPM;qVw_#;ZADUZb5%d5*txuwPyNL%-TvSf*_LOGU;9sKLuDQCG0n}*gLE`}(j^Bz)5>ojC-ci)CT(RpJCUct8~-TOV=-Jd?l4Lw@F zV_K-KrWJj=(aO?Ntzn|Ac^XJl>7k1bfk0SAR0EqlTTqw2IvT4F+q=8Fzn^c(*-|--+28jZ zc6$n7cwmmLTxS*eSwbeLPxI>Qj&Gz<%0&QBEtjv_p-HgxTP< zk~?A9f!2RC9*a89N0;0GWFxsP_3bErSI>A5PG$Jyf3Wu6@l^i*|9Dd+gpi%&$T;?F z5E+?eJ4O!29uY-C60%j+A(45?=GbH;4so(qwu}@}6q)%xu0G%Q@B6-ef4@I}uUog* ztvHVBT-S3w?&~q;I+sVq5~BF<;X@0YMUlvdP%Lj8zm#l>kY*P=eLU;$E>9N742e%n zTtLwX@$o4*Iyzcl2m}HsY?E8oJPJBGG@$Qj-Pa7b!lceG1=dQejoI5aQhy+^nr#%#j(Y`zLsm5+J3 zxiNBr7RU%#v6u@a6|-q*JF*DW%TL%3#%IXpYUnRG?%2e&$9sOv&!$TFQd?jo=%=Y! zdIBfcn?J^Jo2vPpnIYk;p`m`zcB?9c=x^Fqx&mb9@KomTfmchLs*69GmF6ODu1?j3 zn@QxxyPRz$`b1W~{wps!bi-WmFI$?5H5~DmiDOelotgkV9v{`@2}IK>hf}4>uidh0m`IF=XDA6!NCzY z7>q-H5TEB(bqbo$kP5VWwON)@Hr>oqZ$FjMcRSm0tF3|qf>b-0e|z1#H*MFhP4ZVK zLT|GachoyLVToDD7DbRMgxH-SewF3$cj#MT-6EBlL~?7}EYLdm3BvA<+CLVw3SWl2 zvMyQLYDoQ>d00_U@B7D&3U?L=4)~YZuPfVMvse!QYCHQV#T&bfS9&J5fobhlt1rf{ zLjc%9Fv?TA2!r)v=^$qB;`2jc;adAM?m2ubaAaF=mEzBXzxZy++wq^O|H?ts;0!4e zK?l0hu0BJkJ~+UKl5pqb6Sa74PanihQBx?jic2z6eR_Mpct$+Fw$(=bbIf(lc0RAO zK3_A+A3lk_fIN zy5{WcJi0_ClZg;Bs6EqTm~Ui7ieO~1-U0~E={;-&WLe!@IKwy(7Y)w&d)~WeGoYkr zTd?7Od$R6g7H6!0bwvRn8}x7eeiqi(r>d#(MHgRu3#GioN_?sNtSYXQ5G!_|VR^Jd zFpG1v;o;jEj_Z9}6%d{qr5*qPEUmv_^!&xQ03t{%X2|(&9QXR7Lth+jf~5Ts<3cwd zuDa>wg*tdPE1i;4{)(z_{|d+KdmANdpF!w!;m1)-{0y86*; zfNls5@j2lvU{`>AUasYJrpPDA?|^_PfORh{uR}+=sZD@0w^Z0J@ZD~7Oe;1gw)n&L zj|>Ht^?g8YC0F6Z_v%C3&%*L@1{jk1hSDHUH!RA}drblDZ8NCyL!zN^)Ao{{+wX^) zZwDILX8X)kXp-EDBOD*hO=Y}v)d20eF2|+f0m$yhGcj3~Vy35Wlerl!hgqF_?N^lO z)%_a0X~M=S9nPGw4jf+AP3410PhmPE1Peg(=~bPpAEjE883bd$D!R`Yr8i9H)7Htp zwW7|ba>y6JkGQnPyHldt=|8f3De>Gg`u*q6=>?6Fe?&tfHNy4d2CC~!fvu)t%h5^ef7EC~svG({o=gJL158ccN z&j~*cvzJv!pQTU!1#s^Gi@efG*=j&!4pFSgVAXHshbtC!OK!v4n)M71Uw1*7>*_vM z3Z*&sm5LHmjy%!y{iSz zkkt;L8?f>(Pc1nQX90)vX`28|{eWGj!WU@f?TRx~<>2!43IgN;Dh8dA1~h?#A|#fPslAGcS)4IH?%+ua_Y%QmiBcZ)k1~9qv3JeNvs~x7^P6 zvcM%1ykVz+kdTVH`U&6^1TSuG6uLds6b*Y{ieBHNFRNyJ3YnA_FsI~x(<0J>gMu%# zI$RUm&V>5`W&32%Nd@@&rcub!6q8jp3qg}#8)bX67JjJqjv3e31v;FY{!Y7%o>YEi zB@nLtuM zw87%8v@SC(L+5rQv%0X7h~E{;&N;uVqunSwB6-;d6zvZe!HBWhg~MG9qcP zxA!s7ET>2F3ks+Jk76aVDOMIhBO3Zq^3wWD#jwTs*oPYT4qsih5oPWxg^l(nyf6I; zX%w+8X%Je50IoqhnqO2zgIr+@COcZGMmk~tM`fin1b8NY)rU?AKg-hgqSU_dhdq5qA_g67AnhaCVW0+cywlq zh`ZnNs<)1*X#{dF3J_Jsh@nx)-KK)9Vm_&tJ%_l1e`9nQUv5gX9b# z!0{;ts?E?NP=%p4fqGXAU>loOsa2gcQ($X>|E&gI_~lVRvV|sN(Dn4RMJSIr(nc4i;d4nmF=utVu1CDNXchtzZZKy0nxv z#HX=KUj|R8r@vn*W%m23_rF0DHy_`!=Je4<+S=8Qg67TXA<0M&W@&Ak^~pc3#j@iZ z+t=2buYa#%dvoE@1IpTOuLl6G%<0lJv_=fqeCS|JyOUR7+mye4w&+DsMt@)UzU=-f zOa9IckA00b55cWhRPy1LqH23wW6NIVrC1H(5IW_WzOs+BK;qnd8HBi2cte(oC~Ndr z>HBZG8eJkJkp`W}EQC@Y`e>9utAVImH|;Oe^lZ0#rAY-AV}%OOyDtH!icq4E*`Ti< z1VgT84dR8&;i zUEU+r?$%C6)04$*m?_}2b*Avl(SmJDIV6O&si|qe%NXQS@6sPW=Tky;$Mk=Tw@JNt zmjWb#uvKVlYh$WhIVfBXn-GD;^+C%WGAe9jf`%3#ki2m_oaj1nX}n}or*o!qAs*-H z<%P4c;qk0&9j$OaF|^p@lvmav3ktyZXO|xtn4fw%+ldrwhC)Ue&^;AosSuclsqvUE zOz-VWTg!)y63j`Tie2wk1wZvUROE?+W zTTsm*sl6~eK~{zgoR;(udZzoTp`Um!TtK4EqnwpqN~^1<7wEfEbKS$NO@~ie$fBPJj~j_;hpCkb%j9LR z@6Q=*G%!q&Djozf0Gde7I}#WT-$P_RbCq2l=)W6DyUWj^4awqxt@Kj5Kv3iPecY3tk94} zo(@nVwAVNn>#PCFtZ3RVXz~n1Ab(w4ye7)5eCrkmGZU+~a7KqsnSLP&_M&KUs8-c_F5}5Bm zV^PopNJ>j<6vg)kGD34a=miQp(3>vSc++z^@$>gCg}0~y&9ge&rXNSfi(sFE{z1Jo z{woE)5!gvUrWc}_DE!#gL{dh^8bGp^r~GgP(EYe{NA|c8Z1?aC??5NM5_BX|KY+eY znXR)TdL-To_H!V;BVgMOuwpJRFSms6wcLf~$~j#Evr822E)0}U5dENey$_>m7G1t^ zaVsqPJWGN?g11yj1WoX+DJMSAJN>E zAcug&_#+_Pf@~5@qraTA>v)osW**hT!on&X!z_VLhP46dHXK6D7Pd6tz(DN$_z}4V zW;V7Un8Kj4>gnx`IXwiK)K59(B34)385kI*i{7qW12Vr$vI81I0!4=;s==N?d%7dO zw2xE=lN^~FFs*bA41z()sJ?RNjSBiIG%1Cy5CqANiThJRHCals?5{26VxK~@soCojO-BF z3|*Kn+t+5id2>Bo7i1Ku8gi@=D-tjc0UMXf-`@!X&8xh4Y#(Tx0jL4qzQyyV;O zzDxLWARD&@!yeHD9;XP(DgM{QSE`?Hzcb(Z&+C!GxlbRLImFYpj04T0RX-0e+9WWc9E;)2Y#6l~TtpNn1nKuntvH3(B?#HV{vG|02CzW7C+vv58` zGnYuc>@2~cOYN0GU-1~D%^Mf7ZSz#cSH3Dq$PTPzQOj8d+)rBLsn+aR-@O(?9WG|q z6o|QItwCW?wyw!9c*`TGHNJIiWRn0j!)Eqc>%11hxh$x!+goQeQiqu6M!+Cu$mI%B0mQ-flQ| zw)ULy>kB@fJ9^7E)PAqA(Fl*69KZ42qxI&{_fyNp<1c<5JNVtpcM`M9!)CwB6DnKt zy8Q8(lb`&E5M$9QK9`nOd$s&(u|NNEb<6uJ`tQvJ@v^H4?H8tv-b2^pxhDkP_8r^O zmeVrXudItW^Okon5)0=1`8mu_!+XSM&>Q&{+!_opmPSk2eh{UC1wB(S03tke__8}h z2)K(c#SJ<-?2N1%FisQ)8{l4K>SI(1l^YMK0+OKp9pi*Z1zPxv;Y?!RoTs#H4xYf9kyz-eGm~hFPqs0T zHyK%^K*5)7rC(ZAm@*Pe2dOpCY3K^EVm+p+CylK5SHy;1+o$;@uhIrD>0A?_>3$3TLCukFjjAC3v0`OmXa{~d9e zQP}-_rK=DMOpF{7l1Q3&|wvuj?3}zM*EAR3;~k#Ufu*Ram+QxxB!D zdHnMoSwW^p(}HKwD|N5@O6QKeN}glI8hD^t#a>WrwsXBSef-AmxlmdG2L1Xgl|t^0 z%4Iq(v&ML;ueM8xed5{bMevJp@7kfhEGf~sV^RDGJkA0Qkk4;Yu{!=Eo#oDlme{d| z7jLoUrw;;fv3@aPq@;Q(HTsUBxIRPQY6~RQ@P0i6=W5GHD0|Ni_Q43eqmEgY_jdhB1cG~TbS<263yB8fRwXU z+}8ZyrU0BKcxtDkWQ2A#+Q6h^;<;Onc2$YQ`vV!(-ZX8Fw<oIh%Q~#p{=!+mth`0yD z{p*<_HI^J~t&TFN-Y#N{G(+*tCk~-+V3M_7r-~xRafV#VZ&cYX zWr`oNO?nFNP`E438lAg?GL+$t3F9SFw9V|&pN#X{A`#)?RABXjp3{V$mpbtT7MIAY z&zq<#dFfAdZa)gKvXo>+JwXXYO8Wz(04t;Nes>|$kO0j*W_&L;k8OnJMqg?9hNdun zSp19j{l;=T_u6x*SktzQ@x6`;?A8$V_{NW!${<0dLhDIChxp6tGIJ6QLwZ@+g3adN zC~}izrdU;+C!X`ubgKBJ-jJEgb(o!&#tMpYTf0SS7g}brIiezl= zxxW@6R!7XFo%hhcj!|rNdr1FPV|hd?KD_?idcO8yhfL&I@m|+Rx-3K4fFC zkIr18SQm4X_f#q}(OX?NzLga=+fy9rN;_3-URke4^eY;@z#kn;-n@kMS9gpr78EqT z&2SaJaDjZ6G$W}YUvAv+oAxSx|I)WQzwLDhr<}MCL*I`h`l{o?(rdPIaTxwDU0GP4 z`^ndBxr}?_yS7VBw)`4AMpl>5^_+|74QFGKwa&gJ3P(LbdzO&)YQs2uK8wScGV1l5 zId8qtuG2;wwoQD%+9DMM=sxJ;B?>udrS5I z>v!b;-@oJkb>08os{!}__v`HXA{AsNg5g{Nk&!T=p@u*34jPq02T|w?3jK9j0U`l7 z75=~qeZVzU(VmF0tQxZte<5l6Pr6}^j?aJn(;P<{OMN?5IEh6i&Hq7D+7nzJhYH;rE)cgMPfuvG2{9^cX=&?fH zgy;*cw9W%Q$e5FVKe@_;o&eaF-4{ zb%OOtr1M$^8(@C_UOVaM%n#^4sfA06jr?+)C2a?5`~dJ8CLL7u6P1PMUo-J9q%#5IzBc4vhdJ z`efsj2pPaiK0dw=d8?KtOi+|RR^U1h;*YHKbS}Y0xYfJFVe_Hxx~V;27H^ zXTV4KqD{OvrvV^V@GHdfIQdTA{=#gcc%m3DhmsI z`i(ad`QlM+vxpu=lC|>#G(v`uCzDf@Lc+pO$J&W9>*(kl2yKp8SLp2P)0@Nqs2oPZ zITnktM#JX|m~idB2#^Z2+_mq7p7t1XbFHI4zwHX3(I0&RfkQ1~XVPq+W) z=lPpZwt#}b=PO0$&gGKlHBQ_HMZ&cd=RX%NTnN8ZObU2_W>VszS7dF^4ukG^68<+u znOoq;oq9|=V6Y%}i}N@Xb&v+d@*|OiQ`FVf9dhSui~Xf}60|G;BKfcv`$w ztLSk@T`9V>x;jjUFMjn>p=3v2Uo1K@a=vUeGK7$zO4qjb4P)vU57?6dI;|*e4l_bi z+X1xE-|bmxSNTbW*Hb6ZBqwMKDmZ5HSIVV$%~hH*~y1S4+Nv$=zg@5h=_f4`xs++Z!)T0$cC(N&-M2&W+p`K3u; zf(cake;=Yw;^`-T8usy-zI^p{a9OkBGO8C4V=?gDtMOc;+Kr6XJOas-hN3}^%)%4y)=PjZy$HNuDa_kFm0c#jG!U}K!mt{XzGq_XJMt7$Tjgp)Ft zO~H>Jbb8V@t1C4PIa0)uk^piSxpdg63G#2+7>5}J0JFLde>;(P zK_4Ip__Mfl625gRLRd(somVHmL>%Q)V^}f6teo<7{-mfBkwS*;>I4^O)y~O%nM6F^ z&c;^bH$>3bXr+f0R##)zr$6uR*171NM=`NbkVvFFiV+MR5_GOjV(#9(%W`ag3z=jv z5YGTn2>spic=dmJ9xlYfOCMP04pKvn0;22)%*fY}k&%(8!^5UT*ww(fpJM{R73Z@H zZs-bD6z4N*FE8m?%hDu6Q`0duW34>)1o=`Iy&B{w%e^mg3QV26#pRghyw$m z_8c2tZ{m40rzzY!$u9*)r+RO!&6rtPWh}Nc06)|$3ggp2?gclNRVCULmP5TxcsSj% zEE<~8nehqHuAAuu)cT`jS!*8@D%5t8hZ1$UZ6x{mFobx6x{KKA5Fhu!%IuWRoJrqX`w0k$F?ytslqGc|p^0 z?b1ud1BAm$BPH=PRJIb+>Gv%8ULHIKUr7NjiNJA3E%B=qYjkW-5nMj>+KcT@1p(tE zWn|+e3D#)(L(dw8909t$dGn?K3v3DjLqkJRW~v0u-vS)LGaqMH`8e zi%)52XfRM8;^R>GyhFGrPUzziNkhrdDRh9t^VjPI`^hk-&m|1pZ{gXth@KMjR7Mnw_f{$6GcMcJ%Qf z75jH+A)ymSN}9xu7am7a6%Ue6uX4cvO*U!0y(&OjquzWsX`|a3@A!VE@+N&*hduf8 z+229+?U~~`Pt%oJD19MAhvBI1_=bAfkO|erkP-(AEu!CHc}t2MQ3I~&Mh{-SmqLN zj8D&Si!ui|HU$f4GWr>v%NNBYT{@!_jy1pbqFaDjP{ES#z^_b}LA(>%Br&)^!D{il zylG>$k<^_yD`+MOI$Bhf6JP{zN{zti9kzfq1biSsro(9`u zdgu2uUTn_=#=zc(e1SeZ+m?SMP?jlDo#q;FEztNBp=wy8HCzXo!<89QRhXky`%6_- zRgtt044Sv*s4XwP$&%}@E0heg^ebDJfVTl2Dl8Eo6O@kxNoxE)1d!nF-D3bIE%1jr zZyX;#e-j+yAgT}4H;|sW*kD>{1meK+kQ->Lj?$=Jt{@a~u(BdKH5h!tIFmSiIN#`d zF$PL?8gD36sAy<#etuV^as&-eC6qum#asY{^|gV|2duq%X7L(>f~YY|U^dzh7GuzO z9O%#6nROglC=MNjuQ*6X0L1`Anz-RYHb!H2=In!We_uhnXrPRNVAcR&#vl)lM%85< zE!|i&lYTavY&7>e8bmyhSNk%ztT=lm7v#6==<#az!w5@o_W)tHFAx%7dZ(t+VgMCX z8@$;i656cTqA_0c1?05`so%hI3NbHp7XyIPA*z197Zg8K2gC}jMvx;KjtRe{Y~g*!M$3=Xw{gt zNZ4Tubs=f0Ks8)7D*`i)AJ+4<^Y&(j1R^LkS;)`v3Fe1x8gh2K?lUBwd&Bnk zoxPN#S{cPL{r$gPjTk2nHof_~V9STcrM^h9;&K<|56kX~)(;l|M!t7lmcqzPtT~L= zsLm))&YX$d{5o*`knY&PKrjg3EK9xhdcHxVW?m@d?Qqi`KW>5+edNS>c2EBUqWec^ z7fV3=3rr*~*!`^PYCIAAr6WNQ5%*~^Xdl1S0jEWjC3qp5a3)OsY1*?vU8nT8!>yP> zpGgURv=&SgbAZ``bklj8)y&Ou?%Ao!&zt^O~Q(t zGy$4jfmF}P>@jXv_`5isq4(P%i&d|$hLMJ{Uf+|Z*^g)Sh5;X)@%@$fLFXrKN1~ZA6A-tAo7&`V&LDue+Q=E zm|N*G5|}BZl3WT)yE`<22EOn(225fy<}b|;4GHWEz{D@+Z&NfR#I?8_ zj6>B6uY9LJP1Bb8<>wr(RNzu5(RiZ?mciY_Sy@#VpwyIxoQ1{JFc8e{Qz#rKrOp zQv=ESP-J0ZV6fc(yN9T5#2ieGj8t!h;D$ixx;kX$Mu^pUSNLKGA{ZFp-l~>37?*0M zDqaLi9?7`@&r)vVgDad!*a(Qbm1}y6)MDt1U@~~`ELVxi$vu4QipS4@s_WXVTd4}N z$T>TKP0v1r=G3X#)ccck1v(&LZqvUh_XLDGnN$fSEz&?ZBHn3Hhq-m1Rj}%2m6pc- zjPx43B9p^kB;wzAbvB|cCMIU!RWD^YOSj9Duky2Z42naYTe+Qjx$UXj|6t}dv_#V5zZTuL61$8kr;^o?$1n(J0 z2&AT;KlR-H?dZ4pIW*AcQ(s>o^b|hXAQPhop_Y2YYG1)huwc$WM#@{R_Tkad2;|#~ zDSFY|cCh6ja^AE1o1qF>1-ITZ-Mq3I%!U7g(@YMw;Es82^AIiq#!CqojR{c5oR4mEpK ztV{?xbVD)#V9JsapNbLhC(N~Mg;T%~Q-g5tc>p8j6F4Ed*Z9N7K%Amn_(ss&uD&~b z>Nu`Thwn($SfQFs*uySBQNJPv{ds(&=>96<}eP+|j>6cIxO&P{nlBxt!j zSe+y zpMjXapvi6p<~*!AMB4H6@gv9a&;lB~rssPec)jI#Ccn)GM!V}Rcu>d5ez|3C-ts@+ z0}bB4?-kt=GzoEyYR;x;8;U{a=9p&Ek&q&YC~5VZV$*2AZ%sW~7P zZ-BlECKA2Q43VYuVmmJCT%w5%i$;@-@Djst%m zk}Ab3*#T=+H244pSycoaWr3uJIi{J;2IpXCsIK_fRVPn38w0cX-{gXtqlXU^Y0BU# z`+TO*^*L?=Hf4AKMO-7_*L{$m0A`(gGV4~mFyk)^NXUS@`HE}A{MM~#WG>tjlkw7g zTWcQS3DOG0naogUY-Sb-dJ5C@$eL9*R-;Q3J!4~$h)q2ZQaAOo5^sZ3TonNO1>(E zh~(8M-op{>Q=Lm$fFZq5hEsYr2DZ67umc4mv9&K{+}@8Zk}_>|r_Trhs zOoYC52T9D#C_Hj#IPGoZ6I{S7mp|;KNqe`T>}(nd(QP^d1_m&)&bWCBE~Jbf}Ng+siSy`(j4L)*@dsHLQaSTT9%K*L|< z1tgM6lhY(CmKq6VgI^k02XBQfy@YQMdiz5W*;e!9P*xxmN{}lqibqq#T9K;DUyRj1<{VKWjtTr}US=!Taaf z)L%TU4dkJNcnj=+h3Qx=nYXvnn1PfE3D^kS2z!f_45t=b0>8*++WyvA?v*qE z(Lg&*1mlFFZ#>APD5PN*Te3mmu-e;Dqdz-k8TOZc`*GtleGfy*EsLzdz& zUqEP(R2Y{}C5rFhsIDP%hxLiun;WU`k(nPzD%>3{u?kB6SAW7c+MbRMFe)qXBFe{Pp3Q#N>5<#Zfv<-F`_upT2+YkPRNtsz#sQnJd z93p^UkffZF-<&=Sn^=k^WDViqIzb%V@`taN&(9Z(*hj;SyP!0KlYW>oWg%A26v=XE z{?`8{+zW4ZvF&Pc^pr*4Cd#gU>&r{o`z!2CtnBQN>3$(rx*VO>eZk=@OqECbe;#GM zef!c9SxFCsWk<(iEIw{O+uu9|VK(7m#XCDYzz&5Oij}|pg4Fn<$MCkRJ5&3dkjaAD z&IEZG&_O48@dtIX**2jD2%p+pxsQlUn~+o%Kp(}3T0)S@J`I^zg_hg`!)G_v*PCWn zkHe2t(SMUfuh!IocCc}))9v^&ura-``~u_!gFl}Q6zfL|pn!v8$FTC1=5HZ+c>;=o zY9}<=$&fpY4DO_q6dv4@SFbn+hK4BVpNvO5xH!Q@&;_i+AJWw5MkD*F;lE(jj9VxH zyRAL{-L=F<1|I5)K0Y``9%6c<+cJt`r?DqMdBa18?S{Y`NWbCw+cnHLqwXSEs~91L z>}`|YL25cco`L)sNqr9nk%%tTygwntOI0rm`6|fU1OL8~{-Vu8AKc0ct1^;N!fmJZ zM%Q6o2ktnsgKh5pcyzNR3V0AXIXTd{rluD|-Zf5aW|9Ar%zv~14RCOt{?oWGNB0Bn z_GcKzAf2mE-=CgwX2owdAfmqgt+f3YMl#5N?BTS{(%s(P=8@_EjX(V5c=R4#XFI-CJn{ zFg63xzMXyeTX#~j<3gGrcdjmI>3Sz8iy{&n~7Q&CSOJWb+m3 z4wd-ivc9=Nxa#KP;SozK0?v=cKj9_`ClfXy6zs*uHiQsetLu5yi?UGpmioueCORtW zKw|q;eu&|vTM-~;%dYpgv^?;7iPz8{+>LW~;g-DRpNg=JG)vU^ytWugU7n;9LdfY> zHZ(QQ#P4o&by!QjcS-r3-3@eI{z`sChmL5vq~&?~V!x%871dtl7+!S0r@m8(atERk z?fa%@h+g&l$f#N(Zr}piPrfvvGbIj-SE}?he^zN0SQ=)1`U@#d?=Sxt%%*}t-_Lvg zz%=OR6$Wt$IN+gpFvx(Xf8ci+Dm2(CBFF&Lyrx*IZl?evWH8sl*h1Q)r>2hzaj<}@ z9qnb$ud0%n9nrXOBZap7Te&R=G{c(yK(Goy?qsB`2@)%#ysx;FDEn!5_g6R2V5MmJ z-Jf8_Ot>)@ZURzWlhL~=)rKk_-42)V)mI>=r@!2u7T^$drf965J%RJ02hVbi0!*Dp z5RGU^%=SY9{QB_f0EL6)u3%Jz_z==&94`#Q#=|b}hZ)?9#qA+O&{y7;kAWM?cnwKU zi`||06jaA;vLCEJAlD4`CM+GhC42@p(mPOeD?TKe7P1^G$KVN(`a}E`xV!+4R-OTXIUkJC_jfT3`T3fasagEZ z_ioeL!!c1gg*93=7lUie?9H)ZYZ-w}f$oh)MXqGQ9oZxt$3Cqfd|A5UPZeyS|1K0*Q%iD}DiI`U_v^>=)Ppp1O&i;ki zBh)RO$U2K#bZr?kW!i)I&p&_OQC;=~K{K~wdL`8{3W~56!6~RARH=&cZNV@gm9=pR z%2T??9w&xX&qU!{+>7P1^0fQ%$vY@QsE?0Nm`x7+yN%mv@6!F16wAajx&8)DI4I5O z+C<^xckb2M8u^!S#52+BJ~frS?ZS#Tc;vG-*IsV_)dQej?2P;hWPv(%Cu_sn;2D&( z{_RcL>syZaqHZJdC7<68qh*>%EE7b30hd=RA#Y7g%60`wEvW#>bzJ^YcUqFN^V&K7 zDM$y)DtAX7C63NDGiM0hIQ0xps|Jjkxvsz-%KGnCyIj7W&n8X;d1`p|1vX#>rJy>7 zyr4jbh0;~Q)Ct(*VJ0LyFt}cizb6g#Eto-^GT8=_OefM?2U>ML-@%mLf@NZLPPzhp zF}e>dVo`4TW$&$`Y{I%*r0;-}AU=|3UAfrxejR8j$5T?WeV#CI(u+u)w^(UnXJM(4 zN}pk--&G|brNBs+`NvESSq7|xyu9!Z8wtI#dk*}_-Hs$y4i3YQ8a(uQnDIY7C4CP= z=^N*?oQ#O{OvaoZprQ&lAxZkLF6GhVH(4Pav+*K+d=JzPEe8$?Mdn{+7wk``xbN0- z_=qGxp+!j`3J4qNlSKRPD42zOzLUfprPD9p3&0^)j3^=Zmma!C{P&h$U_155W`ZNv zsp^Dr+6!8DNy$k`T=cI&I8KCSW=?Z)2RJxDdQweEO)t!2WnO*~r9Ezw@-c43Kd%Nkb+R0budwOt?6gg> z%(9hBNluO(=hZ%mujXJod-mq#4==;PNePf?2Tehk$?m4w31@F_41kKuU6~;uPUbP& zz%>GK03havzpA-|VNNCmAUA3TL&Xu zn4g;K5aoP!y4{4837d6?m-9P=8MuuI^#d)e@MsfW8#Ke=vPEOioRk6AnOB~e{OOLX z>r=I^FL_jN0MiZ5P4_nH9#81V5R@6Dh!@1KWI+GDFZ1LqIUWJ}P ztop!~*yi##H#I4mL~|3^1~yTHA0YTWb00V%1ci{^dU9V?MQy3@2_`*oFG;M&epNFvk}LO z4Y*_uWv%6>fYGOkQm5c)QE_o-_o60|iE{2o0wl}@OU=HD*^BB2vxnru36S?A!6KM+ z+1RJnD*qxy(2>G%90!iL-ag7yenh{ZT2ns#9<*=zpG1)kB7a}J7YdA`xLPR;vq#6H z)@84(y38virzmN?Eu=_%elZ@ml_EdVjaUz>Gi6D6Cx;2C^&Kw&Q^%BTn3x!WRm+^Q zh#_CvT#yWcz9Y_k((0sABMc)EV74aKijJFyfJ+rMVAd;0z7s7l6kr<=kx-!a8k5kZ zG14w>l%HUEOM-lg9UmY6?$+lU#5%=dfgzPy6%z!s$xCeU+mL2~ z`o#OxZm7~=N+F+Z(=(d)1|HYzS5#SP^iHFyLvvgvXI1lKESuyUG#WvYLk>pT^}Qy~ zb7RVDA5Y2j@nAu|e-zf9+?l12O< zG-ZBk1Y6!wGvEG}VZCLkPNt7=;W>qchApLI%Xw0iSN#q1*&IwXq`-cZEgy<^gTri~ zeUPdQByC*F`mnAD$u7CM>a@PVrhBZagH!>^qN6^~|E0Q=Kx~T|j{zwIH}S62r3(WS z@X$k!NRrLf=F$QIIi5*@SG@8ueM*w&w#&bWF2An zF8)>_qbB|zfN^<}IizU~fb~p*%7$%*Y~1zTiSdhfq8=+E2@VImV8SvVKHU0_*8T&( z6~t%gOF2P$ix$I*=~L2~!yf*HYDFJ9L|BbHJu3oK;v{vJ5*Z>xF4%>4Gh|xj3MsLI zzHGBmU!A2$ttj0NOhP9xlJji|5^03&F2PkeY#I8yds+xZ`bsAZP@`-=G(vqGvSobv z^+C-Qe_)FORnE^5I&_|b9vgynayR|M(}a?}nsLN*;D8NN7xtw=(E=qTnIdC;e!gWP zz7oO;4uVmwG>8I)LcOolCSW}ZfK!J#EnpXZks|1^?CF~>7R552!UmQ85I)>Nu@8>* zxE<7jF$9}ha{kZ;bAgOQTWyCzKtypHH$vthzRTtj+xxMXxLZKOpz{Z zZF};gUdHC9V2wU{ny0*gZKm76z~BS#!D_{3m$YI)kw@-IBnp|m4#%#qLu%0}J5uu%R;G~bDTO8Da6zTOTm-FU#1nBm=XA+ftO zS==D2-hr%Bh;>@bFknj%VbW$o-&cFiH48SxwwX~ep~VdIIy>Kz!fo#Zl?vJoA?yw8CDjvKVS_mte-#WHjUuCz)1LHxHj-sqohoa-n7*C?n0S0P~} z1ORlH9S?df^xUIa8zFI}0IPSqjurGU2^@!e1cpGd704qYq^T{378X{8NjI}Y2r<;v zJtuq2l>ABOV_rc)yxd`9!99o)JD(I4L*5ze=}Fhf;m#Cd;LgcYfHg{A6HDx%p`aZv@|}!tGImA zU1|doso&zqs&~)i(_TKTLn0#M@tM(E8J&WqZEH^uP=$szvv6DfC!-| zi7xI1EElnQnT%G*EXD;S?U*(j>E|A|X_rs?iK`C_ttU~2u%Psd;JMGHo z;7ut%&3ho>wd5Q&2nhDq#y~>HTd!pe--i(N0bW2Qzhi}}pUoaTxCohbuf*_EMC(ui z{HJ2r>EbJ62fPRbxFs)n-5nl2lmjo^%MBlBzzzJ6GkvJEj7%1RfU-t6S~XKZwYshX zfgi1NsxY(dMxDXh>EPg?=DQccC|KgN?xc;mKjCTI8Xf@1O)!lCc@%P!TOV(EE)CJ0 zelbwG0*3x59|s`V0tc-$+74`1f)NY!afEt*#MdXFQc(az=DXz^jYo$7+|k@+f)PDl zIsRyWk7d0_reT#D#~nAC^yl$0&JQ`ENdJnNhlI9+?i-N2VL!m9M{F2n*0_-cfc?!1 z*XP?4FL+hd9T}2q&q)n&ztMf=J#*rkaZdDudE6G1`mu{L&UD!PK|Uvpp8vdtuX+M; z)Q4{&(Lk$!x5$c_Hwz0ado1BKr#MwyuLu};;xk4uuT-e4_(y3elrBx}Q7m$<>@kNT&9VZhfEs(reJ6ZD;4{Lrs)BrhXr$Hfuh4?F$ zUrMm8=SfAhyQtNcCQ&ed6<;RdO#G3jV#uz@BQ=nhu*$xl%^ZwMazcz(wzSz zbxCx8$>54QT4+*WwAj%tl?-w_$he5-(G;~$YDRE!h=GT6=}cXJ2&N|LJaG1ninTcb zlOFn&4K!V8GHp0|{`~otkvOoihSG4nHz%do6%=-wfx}VFm-Kc<1)Z`Ms&62o8cg5Mb}qYsggL1f&P;XM~L~j49AD3i)^&@?%f@C(I)< z7gmbTFYI46#oDUBi-0>Mp`4$T$3V7Ux6*IsMc%{`>d9HNscj~v&d5Xf@eSv182^`N zmzIW*BoA5wh;n7`Ob6H@=^dU@OFSlkok4o`)i2t=_>CT9g4IUq;K?eJbN0t?Pf8>2 z=P(NZ^PiOoZfwjnP0Re$)zyd*kfa8tG z3`d%Nw7jDz#6s}Q&&zV0r#i^w-oZhYa(5&Y7T8vlCI4#V%jhl>5GEt+5+n}?LYR#_ zckahh^q?1njKDD!@s|P%+VX1)SlC&h(wIsB8BD;U$sn{pDNpWP`|<4?T?rg#8u!K; z)pLr~Aaou)^5_`}H?SZR@nbm8Fdy`&N+~G2vXP&FFJn9ou?cBjS@l?790Zt| zt4qCVY#E6#*C+_cwmrzT3jsvUklyX?`l9*syY5GS?{e#^lo8JE`-ku3{Eu+sG;tT2 zd0Z>t%CH5=zOV0PBpspb0*FQb1sk+y01huV&2>W84F-VIIOX#Fr#%*M+VO_C+OQ*R zLLlE&93vx!a7~9|II!J0Q?3@@ojs6maJP5oq z>gzcG1qt48g3XjH(x`O>Rwxj0!&a?mZ-0UA7xd=&9C~&4-0GFS(iZoeK_}AUV16%z zanDwi`hxGToD*bR_c2S6+T3;13E`9?xd50ss77)}8lso>cp| zpYI>U)Q#}JQ@dYePT)MAWii1^pt{7vZ7H;-F^H)ZfwyVk@o_5fs z>SZCV4q9e1T`=${kQkbbKVTH_-|kPNkL!SF4Lw#{Z||g@LJ;~3M!)})zi_5eZLj`Z z=Zbbv9p=sQe4=5Qf0I4o#qke~p(6H8Gi?kI1K$c!HyOGXzROYouU)?$nytzY7H{X` zdR6u}s%)Vim#KS_2ko-3FFw8wFPH?s#8^;Fh6vA9zm^8n`j7ug>R?#LzB*YpwhW&M z@-=3YznSKVh5u=BUtcr?3d_LI(5g9vn%Q%TRdj~_0K{tmE4rv0&m-8*?JxEe%=^#{ zF{8GY750ek6V4|0SP*ac-nJ+Bb0ERb5`H!}!DFOEc*HIB6v-Zz7dr6lLxWufSkH%` zwfng?+NR8lI}uYO8>2o)3tp?825b_6Y(WP(FgR#6QR|s&_<%iMsxL360c1Po0Jw%^qTmCHp$| zLQKd`wz7>7vLy^-?AdoAS%(l6NqCj)r1+ildcQxPvD3Qofzc@tz1goW&~C1$_Yc$u*b6Tpbf`ynC&OQS}X!m?m)N*)d4z~0a38m z$w`^DqW{(anE?cUsFk?$4>CF9LYON+`duS(XDRJ@4NzX5Piq&yx70#z8%Vv zrG=?(_@F~4T(pVi=}kVqnNIhklS1f=%k+Rm@Gp8JKE54hi@+5SKsI@XSl8lUlE4G; zGSH|4CrBHJfPg#`^P&Ra8Kl>1>*|6Pfh534{a8*CD{8jHoNy=qbM#NM<1?NmpWTBs zscS#p{dDd6rph2h<{%Wq+AX9<$sdw5=c09Cs>OKcgk_pJGQGU==B$SY(X6gqRRjAY zNh?U>S$~Q~Oo0YjI0w1XTe62C^dFj%H?@lntm{sUjor91dn1I8ScEPOo=x8$^ao|k z(9w(YR^WMoCPUlkC<|1^NsIvE5AXYLUYuJujjw@9Jt-B(-=C9o?}ea;63>xN8xA#} z`DqXik}?}oQ`z9uBt>la>_)4RnnRv|C;)R9taGd&4ydHG5Lo8r6q#HuAV)oaCtC%a zTi{t~2|Qp`eT_zBykgTZ1rrskO1ie%xu1~kKx$cb68IFENVGhCoQ9eH(9n>J+>wFi z1x*bBti4gr5jr^lR<2hjOkho(9|3@D9_+>lG8caI9&XD1bF3`Veq%|TKm6^JSL zQZ;ky>Q0lMpJh{K9B`--?Wz=sA<>9*v*aSY<>H|+L^QG(-On|p)kgPr&mQ_6AL=}E=5Mm9>es1>I(qR$kz@kEU4ZB~NMJbz+N9F@@=(6- zF^l2^(r*I81M8_un70_QPHt7_7U=iKYJp&cl)?rRLI_cnahnbYZPK08LgW0DDlo|< zs_L_nBzQ2{0QK3xqCpnf!}+e0pa>3rH@1+SFAgFVrUl2(h%2-b_J(5$1f2KO3|z^=Ww^ET4cT3+OZJd1`M~%Nq?JhPqI? zz_|>c1l}SRxQhX@(Sn%8$kh(MjV?f~#5Rx?eRpRqnwYulK|M>lF#7vxK-3OvzI=?U zrl5BxAdUV##g+IWRM{3Htx9IjLcc!6B_;4+r}(GmBwc(Z{F1~oXSTYUQc2xEFXyW*6S=&tWmBV+Z)l^@0MVbUKFa$y z@W!%I1MigZ&N;7VX(Hw}1a3L=-x}1UCBsmN)F{R9VzXbqvS@X;$Ff>!QRSIM2z!CH z(nltfSW`#^@yRnkGNvn1YO-d_#ZlsH42!BV5{Mg$IyZ1`5pE5kHOQ(0t2t_|J1_Mm zSCKnfEDRVE%sKslBt-KoS^s-FOwQKJfjeCG%ULS=8cfdi5HWVo?KS&5mrFPL^pXp6 z3X$J^8fU>$$VZ)*8(|U3R{^>V)yqPxG@=fFjjt3B4{Qc>JKisx8NZhSQ{qG8>c>a@E#Tg&&4mykDb2FYCh>HO zSv|&q*Sw=Hm{a{Z=dk+mqcP7XodVpt@W`Hxoa3`rLfE5fC)VbMT;BM+I(nViUwwov zZHB~ouEtplpV$pG0@-$-#bETJS>I)8P1|`FIFpwS+<&%|)(pEyVI{Mq%HcmM`PG-P zvL#Vll^OO9xs%m*#@!>7Jl>$n4adbL{g%&s9xy4SY968~Z4xo<7h4=35R?4wY|VMb z+d0Ex)}l&@TPCBh7Y_#(Mx991B2}{kzGU&||7Zbz+lLp*n%upBH5-XU<1}}%U89-i z;-@Q2O}49Zc_(~*8*_!m&$lOqzd>U8sNXtN`4BSF-zHPI!q^#WFLcSy5Rr-dolK_2 zqP$%J1eLg$Mbkd68YTPyIAd+dHIiTxdhxAIn-OL$>l% znq~+Tbgx@QD`V|_&UolO4VF#{FX@%cM>GdaHnm641#H->~j_2c$-5X`&BJf+?N)igXSJ|0?Ra*N3jiQEJ?;=Wxh`GML zz6LaR*|3OOb(%i%$~(kX#?F)JGK24_$5od9)NKeP+8N~X=L~VPd_^;+nj-QA-grNQ zzK4Xh^R^ZfC1eFPFnDR)Gd~a0A;tfS=>!4Yv}#Wj zJS4JM4(DjQR0gc@2KkM^fIpMu;oD2;fbSO<9KBY?dAj`$PDPvZT-Y(TgNc1T$Bi1k|)0qnW z2F2Cw%cfG}um^>f@d=Bi>E1gSanv?z?oH9wYN08vGXt`jg+=tM@r!25X-H(gkFo@^ znb5?G6qMGyVmpUiJzj=W+w&2BU^Ag-H_YHLRFclrOfLS9~_eQY&{CeFkx3-AB+xW^h6z zUZ!f;W%@k2&LFqWko6MXv6wtN#XLT0E0fy!H!f-sG&*A?kJ@CDAm#<)*}HA@ZxqEW z)JIlo&Qy4lu~O#d;xyev2q-L7uNS?&(PnAl6+f6epz|`9_H8~nrCg%B%StE=%wDLU z-$uVZ7R47c87rh=gv>8D7A-a4mZ|hm@2HewVp7%bLECZH#t6rAq61s>L;_0wCjGX) zVlsLyClwW;lv^&8jYKa0C5m9jWla~2{pZy-V(q6QD+^ji#IUB^nnTH$BtLZM8~JkQ zYuY}Hb*TTBM zE=(^=(r=Y)Hy6klUhllS5*6QMVW5C$wb(xQatqo&pPZ;lM+ovdW8JCW3K7n&)wc^ql++=Esc;!ZbX5zY=i z;(yUQR|<(iHA%u{=1w~(6y~N|)t{u8%c;;WXo}yU$n|HOb z)xYyoFC}NMYhp^e&9UsFb8Pd5Ne>9rq3ijLO8Wo2`+v9Ra`-ke_$qK7yWrO({l-ov-1$|y zLx%G!ql(!ZLEcy09F(8$+;$w@_hX1!xVzn4_+Z{uW4lDq(IP2EH;|eV_>#6+5 zvFCL5Qu}7pKMz5sCO;IGC$eet|Nb`r9>K`LcgT#L;!5jQ?uWZn7r#H~(9~D|YGQs; z_}BY*HkGRQYn|}|Dj&aSe!gi;cQ5YGm1|_iRk}^7zij0^T;9_ArCpDFWbWmStx};# ztAxj0J6(^V==eWhFs9h8x1}E4_$n%%RR7%Pb6Ne_O?$HG5hdn}9$n(NNhuKL5 zb`z~x1TFvk&4;WH8(Dsp?$z|8I}MJZkV;*=ci^GBgU4EkWJ*>QnKB)t=D7jy{9y{2b1>V?NGcz-_Kk>o?p01o`M&t^P(>_A9>0z zE0>T5`HM2_J`((}tln%r0k-ojcA>uFWBm`a&3$>OBDj{KGF|~s&AM(zktsgilP^X9miQ2I77C;o zs~S_qB)hu+zydZT6kw#^Ns_@#_A`^jTupTMQHW75)l7}nV^Q{VLfp@kCJ*L+# z93BiSw7TrbjCs!h$l@Hkvfuoh1tZHk!fb5on@7idclL->aeSW(#UJ?XbUtMs;m`=Szg=>GE$p z845?mwTmSYbLfSDH)ZUYngeJ4lk(CNPr{VRKRDaphskZYAIs5w_v34G)JA`CNBa?3 zAFeaup8o^(Un65UMNZbu&=-w8!Qk{npvcS?wxWr6+IuXjQ~$Jh&Ja)|ChZ_y0rFQG zz^$fDSg9O`X?O2JaVF^;D!nGosWS%pGN1Dll~VvuvLnhAh|pNz>-cdh0wYYV>Pd!M zjyXfdt_RxZ1g|g1Z#3l2%~!I+FiASnF05q@ zxy)R`X#-FO9go8o%DC3LJEicBcA(*(JZ{r6=+}76QmIG~0`gG?2um$l(jKDnLTl8i)+AS@*#H+h61KkIC_?X+l8-OqP8~6?ObkxD-dQ+uvLw@qt{-7j zG&|t?^CR$=-;AQZ)K!@%m4K5~W2c9&HFI%TylwcEBR<;@`(cJhG~h-BH9DT{JBO0l zOGbAOGAW%fiLXpgFWCI-q4L(nAD?{uwtn6Gwbt%V+x09Bo^{C(A(eN&s$UoxI?jF% z8#qNaIBd0P(v=?z`yX7+T}rWN*dKOUAgh6P;9)(>UghJHl)+O!MgE*o{`C+SHZXfU z(IW5e3EGp_J#XmQGa%68s++q@NXv}w7;|Y-*K2g_5&#KCw zb4QTZr0wH7D(|6kcBMP#0{3)q_heo0*CWH+#L0rEvN@*1I-OkB!Ulv12GJ3xFUme( zzVVQ1;lrh4-=u4O&)Ov?vq+%&g;Y8VlTrB< z`i0>0G-RmnS{-M3LKKE+qsC}s1QG`dnP>-X;0yj{pC%(~P4N9}H(HeGGkm&(cNe{G z(;^vh%d`HifGEqpD@Eck;+u!L(x;9U>EEijV{5|Azfok_G>9)39Bl^iQq$LO4^PY; zYn(k6Bk*iMh>2mg?N7Wos;*wnWFbQRyl!DN+mbjN=Jcff#P0xE9tKHumbb4KsG}S% zDLmr*8%y5(Xp0pygOfU3F1Mz`plR;{66@3Zu3txizr4C94aK%JsU*w9X+ioMa5E>j zKu0bM%QlIICV24BQW&#Vp#i`QGAu3c7yt!RAbp%yR{i7+K^XP)t6uBB-|&mmQE_I| zav{7Lhs%Aiz{&rz8ocF|T&;sB)7(0#ben2wzOv!hc7+iZP{RiCl(8K%WeBOIP#I2u zPCKL~@y7_*5Kw~@n#+zb1S|I`>$5V)tGTdq*)5ouW#)!wPuS=bTSBKMk_7`-HxGlc z&fByq&GU@kx51$4dHItt{EkN zT?8+Hhlu}MFCHL)UI1*^#gt(eX^`C->zJo;sp_( zDr?AK?V+3@y~d|FCIzvd{K#CrTUU7|c<>`q@uU|F;gxcOAzEfiGSV7&adZTj3&KY@ zkg_fQ1hw)bFQh3;ak-ro&m07Zma~IN8FKG$kTStqCR0_4i}(iveFd=VD+etT>0yK> zebYbvc9*+3G)mQ+VgFh2%R~{VRias=I?3^qGc552PkGUWY5*saj+MsvC>MUXn5bSI z2R<#V#Q=L#^wf(bQmJf4vr$r@Wi~sa;yfYad zWc8F;?LhbC)l#KX91guVL7ik44?{%C{#15&e*7$7Vs+spYRYJ$AyB=(>UsYitE(Q0 z&qO~rF(A`~pSIGy593$C(GfVN>KUh)kDGJz+p+}+`Qm1xo(qO8cSy&vb5xHYF4)za zI1`AKZ()0JOedN;o*G_$dWxCLmD|1YACn3<*4o`4svpxkyuWZwoaU$(;Z5_wC2?1O z`{j6M^W_98KXXU$inbW)MNZGo+>PjImgis25eRK#wPD{CTi!Jsb_5J+jXq3Xoa0qiCaba*SBNakEr1c4t^{0BysTI8K;2$ zFB)}IV-wLw4CcY@wR1O6)=JM$IaH+PlQY$0CwaYHVVYLc#zIXI?Phhc$?NQB*sXSP zK5HF*cN6<{a$4B;ZkA>`%%!J6E(}zOT`3F`ZV9X{JSYmXE*aB{m0k*?VFDZ321wq3 zMyeUiS|`gJN{8ZLeiwF_plK^U3a%PR?@B%-hTacIrWfSi6}Z7Cu=K?qkvkjX*1T)Y zOIv}c;vm>3e&%?${Gs8G`$L|m2gqXuRhH90;+Dr-&`Fe3zDm+ zSp6;+=UUIW-qOJ({-(z!s?{Jbm9-vDUN}21b;G=Gc2xG=p`{Y<4_t83K2+{pQ*-HiIO$3GY zPKFK-COOcp+HoEmuwbL&B(%~3A+&tHLjKjb3125ip0R`@Z3B9>|VgyT+02n zdtjfV$`t;yAg#L0Oqw>Tv)e8lqLcWTUiX_?SYX*CVX%W>pjSrs(I-7JUiqAM+hVoQ zg2m@|v1#=u{DO$s?mQ@SbC~v5nwB-h%3w@JeM2xN+k1r%GYy{ zu;2~6Y_u`!HGB!0eij(7R(1wN2BIwua?M>XRi_j_jNl#Gq>fN(s2xkIJsfY;LEnI; z2efWsTNm0OMJBp^`xN`H7>#Zh!JuV(4J!K^FjdqSVfC)dH58dV;E`mdX`p2=ST~gf z0s3qZysjCMu;A_C;t~#%N5AaJdmk^B=$jUD$F1-SHYiRv)EOZJxw>Dw*At~640HfqBTX;h9yh&yR03(Z2EKicnJAd^*-)WoFDB^uPx>_K=U7`O`nBTPHvkuMj&j zc!KFCskI#EWlK#RGJhvLj!!$h{RGF>^l>kVKxSJi+IcQo70O@_pLd;i9-w#;R(1

!Eh1 z&pj|EJh7KL9qmN+c3#JF6bS4j;zrDt^;8Nu(1fy+vxyaM9qD%FM z7C6cdBb2`0EU(|jg=d@2bTgIpFCGZwexXC1?^}?(?%2*GV8boJ!P9tR;4rlWr_+9~ zw0kasN6n4X{9XMs7If7|)~HrgCfTzOcD`BVT69jVWoeT?9=*iKa?7ESF)*yo zmf%(_=JH_o-g8mycCLBC9Jal<`ZN_ij`!TtqmdT5DZa+er++ZA8$ScOW#UeoOj^^@ zqCAE^ho#&e!|wc@;K|;>>&jH%&ngAId-CGCak{;R&4bA-xLdXH9-o-0^i%jjZX9N0 z@!iLrOVPJ0!PN*!li9e|VYgF)`v5J~1LK*4iso5i+CwlaUb=SeiJv^f)82gQtJe}b zf412t?R@VJv+t;slMp)i; z;r&APTUxMyENq~%&(#|ij=q|3SH};eA&^G{=6wDvU1PgtX3oafO^G;RRsGS3DU4UI1w+7=7P56s-s>_8#d zp9wuN6r%z}j9jca6Xk5EL0PZ?2K#&~-M6v%t&d!mkqHDs(tP;8R;h#) zYtUj5znX$08NeP$B+_u`Tk?Co$-C;s)#$4%PpoWgD1d6h5T%^>PuhF4iTBovNHQ+dYpJXZ1?#wq0Q1!>T~#`f=G!hKYNojbJ#b6t`429{{6bo&a8?K| zt&n{aNTy$as{kBdzyrr{=-D_+OdHw)@pm3%r=&-Leu`K&U&5F`qXW(#jM41N^Vrjr zG-+PgL@(ahk9&=2DGW9GIyv&wt&$0pqdsED8JyQM)l*5pc?JsZLMb zDx)t%wdivdX1K%YLJ}835kWORujrY9j_mlT$fyu^*(H2+>O<-HB>4SYSZ}~hz!RWa zB$ym@9nk@0slsmD7CN#Oh#4lNFgUdkpuZvUP-D0PeyFYgj}}06Z5t-aB@MZpT!l&Q zz@s60he6Xl$^99M%&;bU1QqnRRu_OU7MB-}%A5!VLT;y8)}=jBf2E8nvjiZJ{2V?4zNV+_l$Dmme-{U2VX~2ykUk zVNdOaO2&OT0w=^SAImb1lnes(d{-u{sdxaJz6q+lhb(cM-)`ns7Dd*-l`Qr-#4G{ zqHXCDJ|>|2cv0VeMa#wwT)RE!hFSCNW`1b`>vn?mSN?bY?C8pK&rj0D|LC}#c&$1R zF@MQ})PuH^vXHCFCOwrX-wM1C(MRC?Pv{s9NLxNa`Di=81JC) zaVeowXZ`u=6Wh*Tr{NorsTxR|tjf;CGYTr)?)5qT)UR_dZE-FGcPv|kryw|@MX2Yv z!c(eGN(3j=6Q9(54sMyX_7jPxnO{_Xv9{zmY8kzoynXEsE7G7%$&zdJ#9DV#oy@B3 zH(S>a4X4klSgI_=9b@zt+;e_idTv$Gl7n5T%jPlr>cLuP(?g3>E(<$>ev&&B4IcHs zp2>zTb!JJM4NQwt?OJ6*;;o(p5yzl z6HUy21Xyr=n77==>2c-#YcnxmUy}L7ZD{!@Svp~`ZuaT!soNvh*PyQ;5_HN9-}gII zlFq<1^-~?ER;@j5Y0}s}ehi>O3lIDxgIEJ;-9D^6`I3ryd2ZF(gM*S^K%Rzf*R?Il zt@xZv=$izI>%46TPfl03k34Pu$zk#Igp|TrfqbFJ#)+^xi3z)VRoXV2ZjAGtx0#Pq54Y_K!fDGn4iy!^Z7o^?>g6H(mt9|V0c~7 z(b}4vl!l5;^T;lOz&=lSdg{%^(Sw?E3`7#f86u=!BRIbSQ)=&*^^|X$0U@us`Q9ll zoo7RkQT2T!zfvUuz*@#F8*Fs+^r9^;u*iOw@!~}nNdEb>%3;+DGzCh47+g+-A=XaZ zX1kIE&4H*$ZR{^650735goDV{^S0odCzfrF(=-?U&3ffLEJdHN5YzFLFM7WAy}^j3 zgnqG6K=JGY7@l@yW4nPnrc0%zxr5wP{QVy7<_DTG5>qHi_&BJ}ei89OMiZb^yaiIn zYM*Rxw}F2tHjoWzr`iGn$pAp27~$e{L0wk2eAhPXW*`?R)RVMtF#>Z0Fa%h)%?pHT z*R1o~`S&4%ZTDBdIQ}h-2dI0m-v1i??nL$y6lkU0vd+ik37iy;5g^fX{``E{n#|S- z{P$>A=6Dd6I{Nd&~r@dNXHo zOFMD8@gv^YGLQ`t=nqvja9Xrkc$~Sf33oN#D3zuybK|burDdheSBz9`jwB zBQe1GX*l--EPOteq@+mR@dJ?$(0c0p0HzZQOH$9?GY>z1ZV#(m7fBm%c3`8Sq5^df z2<=rjOvbfk+10@m5zbnR9N#*U2AFCW0d4u+>O(?D< z_q}HSEhgMQ5DRr;-qt^hk`RzMHNU2wL=w-EtWkYQE~C$L3+odH7Fi=U%r8Xc9Q|1W zJ*m?ALsnIiws7y`(#`XmT8ywh5u;fI;U);~1uj^&ARa~D{MGg!wVRDwY<>kHkYb4a-?B+1G`%29*Z;lAuX63YXwA6`|SQXi$K zT#)&&0H-wwJrRfR(KYiUx6gJ1t+05{!Zf)b?I^7V6cHel0obGa6EyPV5BC;6)VQS0 zUn`X^#<34XDe=S8hPnS*$^hA@+&KXPkMACkF3<=y4XE8o$8fE}&Hvwn(4eT3uf8_fuvp9G0uvUJQQ;pCp!ATShJY9< z)yQ{>_s5&R2_+r!%6b9>3<5ku3dH8Dh_c=@#rrzX!?2;U^G1G1Fmn(+N}uF= z8I6&O89%;VSuNi>{->lspCt1w%EoBs>K71eO{HQ?5l21T9-jd!a(M!3j9#RX?D>W6 zcNtPN12z_pWiEc+hIilDm4BE1ajHgB9OEVx@wnmfb&i!n>e-sX=E#}tC=FG5OB17BaA4wi4sJl_3(vSJiFDI{Cd`F5#_iuvNST` zXq?#fua+TCzujW=<(sIJ>-3M~R+i^Y^BPC3{?l2{b0a<*cV?Gn&t#gSRw6dT=W9JnacloC84tK#4PUST< zrtDZf*U_=xn@IDw_0MpX0;zl|JSP#IiZm5*JA9+f8Q(bY z>Y3k);bELWAC5tYrq?!5$i(QDocQ>aX4;uyZ4%z>lt2qRib5ya)0L-3^I~y2TmAwy zi=yXUYsz$rIgQ^X0>&!yTF6^Zf*)smrmlZq0@Ppn!?j+yKVLM~|9-_HZa>(TS`%uDqTjpOUym;T9*CBTjt{zhDRFn`=+6+!#6+L6 z4wUnNg=Yk>pq-*i&Zn@2EBq=CHYn|uV=R{GUXI!+%VqUc4c#n#UN%&TAd&Cj-WRbq zDmT;kC$16@MF1i_h?)8Xih9WS1TwN=w4_8;Gw}~JP)YVA{Bz-~p(T<(kVIwaN~^}a zMlvDX#LcqJAMH#zvW05flv%b~=<|(U7B^+0?B2D6F^&|D9pTxxc%PIhD&sMS5SL$< z$BSwd_mGt7PlL7uRomf`p8xpf!G{K)QtfePdw5>m6*5=ndle1|N<#LRIZ0lcnL3ZO zlM>lWMvhul2yqu2w7+5h(X01NrOYWx#k-XtsTD_& z0CQ0c%c?vfTm2jEnQXXE zM~Azl%y6Ug`y|L8=_9v~>9a};>t9Zf%WLxmdrcGgd`tR%!z%rs>6%h&9N^cEk>&`Y zHBC)%FpczHTr zF*_rJVxST-Os{l_?Sjx4BES_A*_4Gz#RKZlwqL(0GgEU|Ie=|1w;9}P&)-f z$d`3LR#onNxSH3)&i;8>zfzJy;+sNC9s+3xKtJMSliE88a}b5Sc1F8o5#d?SOzS}-}`Au#B(M2Y+n{>^T=#ws(xjBmnwULJVRUh%-t@-zQF=|Ot1FU%(8%+(G z)%k$9aqt5Y!;Sucl=d^6)Zm%HvaMC4SrwUB8*;H~oZQ^WY~%2^G>L5a@71A}=uJW_ zvQY`-+maaHW{I>TAfpW=k5E>Tf+< zZ52BwsljCrLV^sq5819w4s5ft} z!SOEPR!<7=Ts9o9Vp+fXCxndR%$b;0@UrV9m)+bS%-6Z1(_MSKxx%1ycI=C{Sde-W z<>1AV$H~V(-@6es-f)7NEPsL+GP$}+4jN+a+hTSpM=1>TRoTK<%}Yb(pO^jir;`f) zB8~0+1QP6$%BuxfC88G_caP>bz?7ZXRiy$`HzHc>;kYwmVlJ zAJC&j@Qs<^(!O_f41^Qo>wjn#tl>IHZIvQ*-? zHFDL_4Ajk-+rbW#e^!zSVm17VOsd${W=0_m=LQSKS7eOc)^hc9c>D>vL1;sUuEqp9 zzcbAuy7hHxY`6pw-IX=IYvP^PzV~{2a#Hf!beaCeCEV2Fl}UmJsOD<3GZ4kCPrYoJ z_ysC@1PB=9eQEBBZ?F({kTd?g<8!)h0~>x%x>Y6GiIKqyJ}86fl3^#F--SUcnEM`o zeN)!u*6|*?2ul}`{@Jt{{H05>He(m!w|RAiqOOjXB)V#3N8VoIdlfcNkxYR}UvYdE zH&qE`a3PJ(MF#fR4O0^X?gkNtEbZ+p>IGhDc@mxtGxkBn_we_T6)r@{v!LiK2?~l# zv-sQCZ4)&+a<0(=RvyaS47PIhrnl}V)eObWuz2<-Z4lqbVPHsPQ?$+1Yx|jfODEU- z0t5KKOxAhM>$9%IDoi8@zleK2dZ1bft0ChT-J)e!G%LxM#WqC6LfL+?R8K@?`fyP8 z6V4CGIJD{aqh^w;2Lsetv6i%j`Ucb@oN9O@dB<09v64>uNUQXg~9Z$*zs~CRoH;jwc0RiT_ zsMk9U0C=l^+<)ph)K5LAwaV_KP490mv8jsvJEQ=2zhQoL=*6`#NJCP_Z3JCaP>?iR zH+4T7w3nObX*Z4s{FthOcn#^6p#N?JGiTwS(;D=*A@7$d+N1Svt-u@iaXcVR#c1%0 zUU&TC3L^@4SkMFHdshJer$w9IzYyEiG zdXP15Qn=`<0K*{u?&{d$&_5fFsqC%e*+sCRwjlcDC%M12M-Pnw5FH7e4MH^bKr6n` z_bA2UQ<`Pal-|42oqv#xkGTMwgMyo$L-(c%m15gk(C+n4%MBK_j0B6e~1SkZH%oJ5hDE%1`<&N&*OM6hStv$#b%p0%1XmZ@cK|^b!Uv^kTM&^d`xIi=v2G=Yo>Il(&w%`q97Qik2sk--EJ18L6MJqhz7ys zH}(9T*roVw;JVEmyz6B)r>VHyJKpQra4Z8AlyU4$Pvx}vanyom8DZMF{P^m(hlJ_b zhG{)k)wr=!p5<%tML#%+NUoSho+saPVf`S)t}$wbV9={>30vljrI)Ib6IW<+ za#7Bx4K6@5yuZz=%8YEJWmR=)v~cOZ8B-e~^urQe$0sR#Lc&0_A!4t!~P6IzAL zsbh9=OqiNLh3g`pSn`(C`cccdv%8lFZv+z`jQ1xaoG8_W{?9Y#MrPS%ZQL#`xaIRGf_AK_gbi@KHQ7mbq0$-;hYs?mas2cFjo&HJav7zHwGJQxy71?*`}evbSk1(dr5wLRXA$DC0SCkj*EZ z`|<5fyv&4jjM2|YXy0}}5y2b;lufEHH7?sbYcQl9+`Mw-ZqU^g&ljP=a1M5+K!$v$?NaH4ktg(V5!1bb>V`xUhz1cALUV zfz>hI0&fcR?r!YA;6dWN)|KBqU%wXc$J-PP-O<(-`Pt{Ny|31-mnTxIolhP5@`Kw; z6R+3P_0xz}s8P9I-%{(zT^uU6yKLe!s&ykMwGMbUlenPQ@Wo@nTm2Ga;UQ?_Uv{Zr zZnfMeZqx-$cn;V4eTACxG}C|rBiV8E(~Z?aqkO5ccULevjl%J-q9X%z%kJ=N>E;Nl z+iDkIRhh8so3o1+E>jam2NkijQnOqP7F5?9QLCBOGi@)KR1c-#3x3@$<|adt@-vj4 z>=`Tlty^UtnyLO8Oq6^p%@!_h>eO5Z#X*k?qN2xlr2h)IXBXO!7tEJV+{70#mF&?a zO-wLOB25jBAAlvh`y2k6yZY{Ah9xF8#jX#fOti}_UsX+EjdYo_ba{N9t{Oa)d|EN9 zDLpa;>NHJ><(BiOO{(bKca+<2nXw2J%gq^8(%ag4njjC#ux>L@=&)bfszA_UYB7pk z?sekt1OJ^*x>SRFyUG*t9N8S@ovrB^82W3O#yTK_vC?h6I__+qhz&rJY9$J)4H`52 z<$0&lRZV|h$zHl7CnvUPNjtE*-CsLBCXfdcLvvdR60Calj%j#~NDK|OI^JIzfJ@1Q z>URaOBsx|4$5k6Lj0q^I9D+EZzfN~lphXDF`vn(alueB-H(uUJs-eM;{xzP91kI;I z&dNXkq{M3wk;nHblkBQJGi;*&0Lg7W zrrbt?n~N*y2ELlrve^P3SLl18Dg(gBZ-4vm_eW?h)G$wc|Nc6zur~hw8-P=DYB7ap z{5AeDrPs1yF$k#~%Fq;cO)~>bg*&@O5)BqB!4cN}@}EHTQjh4yc`3JO;426}I@{~T zW-N;2#@D#Uet=pP6Bg^n{0M{L>KLv3;RlL|f8q=!5H(BBuo6{|9zC)*v(j3^PGT5R zb8<_J@>6>HO-UYiYjs&bPrpqFyi}rJ-9-jP-^#<12#6zMhg<Tm+XKJ$J*f0^+N+wG3g{}Gvx)DBt=f;f0BT*Rf=OB%o#yc>mwJPZ5aF=0 zuij@9-*zeU_--4|pLy>GH-XEMr+4!G8k;IFPuk=lm;LR;p;uD-Z1-H0vzPd&aX-^7 zL-}4l8xkwOUDML;SLJShwPaWd0S$yD3d}P#ow*0WUVuyDY7oZkpxs{=(Wqnlv_b5&b;FtMl*(q(x zJnWDrp*N8?A153A!2QS^!hc+eaSCnw{@rP?K=C36qQyd!16S}s8p3_KQ7c!)G9#IvaqR!qE1 zQE$w3^%3{4@&N0wvKADHl~;<0uCA`y+j6VT7VTCQ-%OC`z)otwXxBMZesoemJihbz z@#8^C-~6AF&e~ufd|_;%?s~3t;(4xb^VaihLWMz&M^C-kot()7ZFe7TE_>+`dNZPI z##H3uZrX?p;tqAm6fC}ff+k#XcheFRZ-Gtpix<*>{*812z01Dpm=p|W+$_q(jR9ak z;_-N45&?BIdpW0V7L=Gat*VV9mHt`bK^ z$YHc-^l%#7Olc{C=F>NoLbYzL!EupATu4Yr229p4%P{}?G1gIX{+smur_xti++U?f zSd6)AG*+`jiR8^sJ!4Xhc5$RNlzX(fp~+(&AAa+Mm0YWwpi~xW?v8aa8$Ts=;DL6Z3AYZg~x`KZ5M*7!O>lb0<02V7(<@p|5)~z3~vJL&MiLn zdu?s^nfyb#Sj@6gQQ~q-m0q5%3_L^2wh_iAWK2sgr!hkkA79w-0n)@cOZa!8WT1w= zJT$RRBTMk#jUEM&IwnRDoym7@7K^YrP5It-qbiu*y-=eZ#UM&?PtZ(-ybW5I`Ze{W zhKA0M{D^Jfxg!IIa+RY}yuzcN&Wrtz7NAFFd;qgjTtv5DxWiR5B-Wa3+ET?1Cgs$d z`0+pGUnilG0<9h}UqF}&^nV~Mc|FSdc?{JJ{3I=uu|2OM4j{=57M7seCMYcc#E|_=NQe&@&flh!?uK- z6iRfAQC?44|M1OFU^zcYehiF4{QN5Musqc$NT;!}(ThYO(CPmK%gTm>MlKhK2LK*P z$=+|cD}VHvyw}j{SFtEm5g>o(zz$pS)MVY9w50oQ%LjT`S zErE}SU4I}KiNu>wo-F<2Ln=L%nIr0eyCrh&H0KX)SQOT|sv_SM2cFIknuWh&&Zzbu zl|OV$&L<9VolUvC0~2;wZF4@@`4gMe(@oT2^rm1>U%lW`JMZ$5yPF$N z3V2=sWuW3R+4)v?N2v$3sb|}yMS49}_GI-sX?5R_#x8z;&t9Nn?|i80 z^T&4ny-2Ra?fWYDZlL4Yl;V^vpb~vjM;Xk0QMZlD@lR z_0jY+dxjQpF7aoXMy+wGXX6#`vFdp&gTSDWoO+I0N>p7sH1t`pr3kAH}|O zg;LxyLA-=*L}Nb5_PpT$|DsVqky=?ys4c?5L40C0&Q^BB zUmakiYM~n_+^E`9>A@ojeMazNeHK!ois98j1X`ggXjvp>t5ugG&PGmX1+2U5x}yFm z-;_UBrH!Oipv;QtUC>CO|KX9MrOEL~nKi|)xN{`%$*z2uIf8CR`+UzxOZt!tqowgh zRT>y9OC$0#i+h=FMk2f4g-d^zm!1PhL|d#LPjr(wx;yW<}m#YF*A)f2jYp?5ZI zGAT!b-K}?dEL3EYXPwUcyC?^F}IDxcO1&ReW zQ1ImN-B&=95elq?6#pWl!t#oRz+R4R{cbUe&wix74C6Jh^ivdYNAc%=T`%<=_ z7K-=efb%{S$ed_u5{Xb^gW3!WJ|!J=gKYH%`APjU)M|VZXvBcX2!z}~8A-W6AA+`e zH`7R9@&+4dBWm9(lrsT$3~E!*$pO4+7Mm`93KO#Ot>a;Z1NK3Je9@Xqvp5bCm?O0C z@~Z02^;LC6#1c4!(Y1-tF}v4(?+A+K;y|(lI?u{BxU!U4e%1k|TVI7|k)^bCAOuvl zKz!3^+jabRTTOq zmfLV6&T73a5vU9l&RJA;c2fO2#r~HIQJ?Nv0K$k*MH4GtX76RN3T1olkn}aQ=x9$m zU~ch}*raJ`ypNvD3*G&%-J=k60lTHm z>rL!OAX3(s>aE*g*Y$MSWIFkV^Q}oiaqBx!Fe6yBbX@JA@V|KFU$EB*GUxg_B1X0kS`i58R?V?2| z_49UfwdUwg7Bn*C4VGh+4}FFYp@$?KeYQFepmqDm&2Fg)_dh@vSU>*f9U0{zY3rl^ z6Sy0KT2~L?#}L#^0Iwg0F(lTHHJ3v610?BrnO>$2-_9kHAgz?FH`cMG-vHAJZGSzIqyZwJT z?H5={1uc`0x>-H0pO1$GtqN6t!qX$u;0)5D1S6Tin{vR2$n5+DjH=xK9vwHf0;R`i z!H5Rl?M780GFXz-;*eMuN+{2ymCIrJ&*t*hvJNq?vR`>#Uxh{k@>X^yF05Kw?q_#r zD$2ZnHec(3lje#8blJ6wltE^8_OKzdZb1A<@=1P9=@H{@foOnPpMPk&%(+1d> z5iv5*MubB97_&VP&|k0)TKW8llfwUtoJg0{;H*-r4y2PiKJiYlP-x_@^7-Dm0+U0a zUB*bynd5UlR0y>M#(<_&MKv|JfSqB@f)Ohl3=rd1XgS-rGgXTp8GQ!@d#*x0*R88E zK&JDjPZbb)1o#WYn#vN$sXmqWN=ixw7v!vO=f^59`v?}9T?b-NcSLW^bo``P4=ih7 zA#NL5%gamuaukzN1a>IK!?DQ30 zap;-#F##X#cQv8=;arZZodi@gyAzP+QLzrBCx5#-g<3CNPQoKSgW)CN^LD&j2=>uN z%GM@TpJD5&b|wx95tpKC1`s-o0_eG?tl(wZ`4JLIG58$vuj?0kN~Q)GownCH>=og9 z>~7`Nx^acYNKN1wZdNldg$j7yV5(z%sU9%zeqI@=&5Rm)l5$1+mNd|@5`KI7f-6%@ zgr>WL8gX^pVIWU8#>dTA|X2|WSA#f7Q{-`fQJqAQdJV*%nI2^CQzhF>So&*F@$BG?l4Vbq zYbgg&Nw+pkl9R`J6P!`t`ppSrch(Oh-Bn+!MWor$3v5p*4RVik-?(YNGK1?@7+xz+ zaSlv8(+cge$rS!8S|*2fCTGj^^ZtUtjBp4lqP>8K8ZdZ{c2icQmrM7luW|+$;c;RP zJFg#xmrycwQ^}4vF>#)Cye*2t>3BbhjsVx5Pnyk)VnT9L@PgpJPYOVKP z^gUCsM_Us%96SsbS{{VgJ9){8;zv$F{BKx|Yn|B*uH-%Psg|=C971oIwNq*mer+Kh z6YnzJpzlP$9Z#zKpKykiYwMQbc)2L#ab1z9jIj6MT}!#%BB{S^;66#|=aL}2#nv=| zh@4SIe)}aVq9+isV!~omTov|Bq!)9e-;`;gJ@<>Z1Q(K@sCw+DLGY7)%zB(^KI_>7 z1`}@mIs)>DU{k|%FFcZ1FBn)59Kng?C!~&fj|Ah`i8l&mCyhB|YA{(CWtda&!LKDv zmvz+>MH@P^4cuLgO(X{%)=v;_w6z-tIlwjf}J z0v`fTh3KN5#F6c`?Mh$@KEAYs5#H1FqfKdP5hLOlv3J+wNxBdu(*!bkg@s5$Sy%ur zhob873jkMHCm?1EAwVO>KyK2jbfypr?n)q7Vt~3VwF`h!6E7*|(y`UYXQ|s~9%@&? zMw}4&6aOr%qN_bwO^C>Zs_n@zw%jkP18MYUp^|~1cxt-R z=Gk_Iu2fz0)C>GH@PIubm_S<9M({g7v%U~2(0+2#rJxgKeA1u?8D@z(Gpj+HfHk)| zgWFlfi!0zY1|+8ebe5-4USl?tSU7!fs3mC?#P*&H;D=8K1T^Szn|iGlS0=}y6IZR$ z2jsMoP_Xu!0Gm}X;+`g!etiylDwrey?Qr>BP-p@Y4q&hya6zU4)9N}XDC9|fyZuV5 zLIWHw!n8uK84nDKq>Wbqm44EuCZ?bW1xquSDjwyyTf=hJ4h~_Ubr4uRIMxRaovQ*P z-oDni&g?$PkB@%94Da)W>VKo{QGi0D?Cc{TlL1~V8W7Fc*f0T_=KKyEzat;=T)~pJ z42a+mpp^|2<7>eBW}w0T5C-(epDa25?=dE5C|cESF#)#}Sgr{G30|N~4~!pv(^1hc zJD1>473Jq6fC{$Kt;paERg3FB-jiZ2m@L4{5|}D8*mee9sx}NP;b*8NU#(cyyZl?_ z7x;XpC3^KZ_3Kyf*g|9c{Xe4@tfExgpimAZwx34lQ%tzCZGowq-hxExNj6aMLKV20 zwxK2wGbXNBWjc{ji7Wnzx(nem$R~o!))U0n-BmBX%p&-)rhAgrJ>gfrHm3OQ_RMMm z4h62G*-QNw>-PkjjKgg*MU9qd=i^_kkmHH(cp7Wz>%*v;7qvF(_ope{dpqY{&lr;5 z|LDv0gV2{Ry^pwHT$O_6AWIeEjp;BWecLH%_b73)iZQ1&#r$fPBKSkV|6D)$>R^IQ zZGARXUK*)kU9#f&;%E;XO2`Yse3%PA@*l*uZ99u`p0$s<;A_1hRO1E0h5c1*gg82O zCZ#j6Y!>Kn2_tBs`-LZZ=a%^jR8G%r+LEgxAs6?7x`mT^Y1G9DZr2{ihy1U`^rO$V z_olDTSB2AM|9s4n8%Ws%X+f`U90K_t#nC9GKM3E&n}rv`o|$h}TjUmRvbI!aZw>P! zcH_6#-wL%oXugsxPeAu~Qzf`E*zbGgmV>l9$jUV#oW05UX%f@GazvidBMXydncA_e zFm}AllN#sE0gsRJ@NPPv!CH#a9{?`OM85zQ=UrC3=L}w8ak0t>xd)w%r z=Z@S~jCAPm)-{s*MRkD@K@$}1kkzqcD-5Ry--BW-FbhMY?7s(Ff9bS*0zGNu8Ba4R z^!Je<-|AWPHOdNq?56Z_Vt}IB!s4A`oxeUa_}sI~BdKgCnML=c6|eON;;_Kglegur z>*}>?^shpyCs9=_M&xHJ)gzOKs#)G?Fqp2`Y3;{(zN1$YwKu&jHBlu96{qGj_mgUY zDgF&B{iFIdG36&+l-)oc?ZJYFQiaCbAuY=9$#;qXIIED0|^RA@$$;Ax|(HXv?6rB$Xq>2{G=Gx-ev)!wTmV_rI zu6N3gtSbcb;i*!0<5;OY>(|QSEI^_^mnXmrC08I)?^+cM7$L&S_2Hyyh#>DIW0MQO zedHv?Vvz_CZy&~MmbRgVMp$0DXUQ%01(%Qy54_O|T#ZkCnT%}92@A=L zs4Ppy@|vL94f8WJZ{ zoWBrpCi(3Yr$O>rEk8joA?1ShOO!I!XM3onr$H42>ZGva1X2cV;@CnA=}xj>V)4L2 zf}+|ekJPtemF0{R&bP#6Y|r-4O3xT=hmf}0Hm9Lws&v?<$V|`fGKp!!RDctXv<6nO zNDI*)R~w;U2B<<+g9W?j z5e$s)9T2iK;|f|g2|(ih6tM_(Q77l;H*8d*(PU6AX-K@J(r__62DG+OS#t}HAWp7u z$T=^F5IjAm4KNrmXHs&Jr-yNDg1G;L=-^`9snRjYMZ#F)id^B3Dt;u8H-6un+JG6R zX`GW%I|-J^v@-{k1z^0+;}4Pa1No^6GfTMC4za0H_~cM-dp$`l{!7lgeDjjkkLmDT zyV2shD$&-%aLkA>eRu-sh$>!BhO$z4x6G!?h{WLAMWa8~&b_wZDH}OwSnII~hi#R^ zRtluut1*qaBf4w&W6X!LfUvL=Toex$vG1A0uPMLfkfJZ}-%044y~e}7kPYW0C>@3X zRH|TE>gMXcSVHZgowLT3=U|XARSustWSDMH3P)NN=86D;=|>jLfqAKNVUoECgspgyK-MBC=EYG!R%IQSz-+$iZE8cvY;?U-y~Jix$Ix zamLYioW-#H>N%H^bYL12sLrfZjya`dFi<<0CDQ9om5uS@3s55M>k!ii;iW`T`<=Ds zSD0dd-af-Qw|R}5IrmN$bL-bh$H8R2_q!kleKoyRTsWONE|8}E6f=g)Ye)}8kKV;m-O4~O=WHagu(^t`kPX6dj%OZfPI%dU66)F8>Bw}ES3R!-*#Lxm) z4MH$%#VYn*bl424H^K57e_EQwOWQtOKeme9UObz&9)Dv0bi)u^uh%pB<>u-)@y)*1 zJI0WRJ#(=31jw5PNai7soOkVh%=e;8jo6&6QvAENLxD8oXK)9(s znpI7YMvPGy?9xlvfqPCOW}eV|pC)Ap=d=|U%}Y=tS`3?GK3ZaOe+=g(+>%XQK6Doe z*ECJmG(ehJX8c5!Gwfr3F@7BpUk)8ou#zmx%w9fpC_5i_kkF=N+Urf>BwefW?H@2t zKjSLMb2k03>MJ8oRjdq?EqG3oLc8~YhTz;8W-9u6rJC?%(m*Z7_bdzaRNG}PH|yMq zJ@`l@_#Aw*!Lb@F&lrzIRTLq_)Xdy7nJJVPwy((*^2Q}ACgAZ9;HwbnNVc@@mv230 z&5?iLkkmAMma7);sg)hj@`SR6(Nxa@)3%=ooFsEabi_--4Yg_JOes1gzf@CK55zy4 z!{2;2?+$lJTAMzIWbrbce#eUzDvw@o6SM71O^(%Hu@RdxPS_4Bi}k$O{gP&6ZT{QL zJAm%&eiJkx_7Y0({bCzz%uh=hpN4}?r8ua(#D8%>XE_YYCoat*)>QdJ)u2!ewviI$ z@GcW!lA4re-1v7%W~lm@--RkS>uFkdOJxhXM$>tk*Rte`q86vFrke6!5uh0x83lZ} zn%jzy%=;cg!qdc`C|~3(0S%&V3TeO@;KSb)OD$3>MS8aT%^Y-pK~jcMwGTx-!IWtE zHpF9IGgNPv=?{e7QsnuJadgdMdH9p%JsCYtYq+5*3=3c{$Ov@5vs5?u@LL}zwE$C6 zzQ{%Lg)j;8a-ng)zN7~0x5zv@t#{UGiVVT>MH%CjoxG`f>~9wqMG0AwqzxEY`L&Z` z^$!KqQCPm5T^7$f4$^(PhR$6=Zy=I*YB`pj#`*jN+#MDJc>tYbnNg3eDqe8Bsk?r_9aYK^zATQ&`PpPVCb-EJvf3)3gVpRz|d|L+&sSDYwDvlKto4 zLoRGwPm?rdT$%%Ji^;6XT!%zM{YCCVNvJ$?APYf1Vfk|^cir(NVKkv=2?$F8Z;);b zKkKiSE_bLmghbSBce8pHjyV`fz8)G+>CMYzmKs{qWz^#nON2*z+t{j|I`G-eB!W7i z?7piSIJTJgF3?7TAYgBthY$8@LB;xP!9x+#C|;tQp(1S3!|8B9`#v4aNKy`|&g z^%ycw_dgwxu&9POm3M_{+dR5R&P0$?wTucJjp1h!%NLz<&BPGEK5@;=V`$g-7O3I& zR>+c(sy}=aV>5ns)ii2_YQguBP(kBiRfy;+$1o z9>X)+0QC*uG?I$IKO+c$mUV{+zmVYkTybt3+!dEd0E^2dZPlN6zdc{O zQ|8+=ZzNTd>0j+fU()4}raj)#Uqi|<@uhN7=})bWmPJ1iX*p-rFQjj>p`0>#i^u&F zl;|tXOW5W9CUTx_(vEi2)Xp>9N>jNIG;sSZ5mmrQY}U)}HL(N=je_)mLy3?`_YDnp zR)ZJJl+>pNA%OBMSZB!6;YmD2bp6u1e)T!9u5__OnAeoE%^$F6vZgEc|J^h-#}B}p z3_C27zPcMO()ZboJ|g<`#!OzT*+J^c;PY^$9fED-*w)+uODbWcbd%p_mKTd*HH1iW zexDUu=PvpT3?s+NTPt8A{Q&lZ-mLNSY6; zf%W!VYgUqPRG0H8+~Vj>4Pmdeq%&uDkW>*VXT&Ho@$pG<+Kx zf3B_chatO4+R%^N2kcph?;mnlspvKF@Z0l6Rg04LvTUsB2}srbz&MA{@rop4_|g!z zNZOd)?cEhCm8%*WiQkvti>-XX=yLkSG?*kio{NzS37f`O%VU(%&=17DX3dQA+zu6( zw(T0b&fbdKFT_>Ue5L|7eJ_h@P#W?*p1-pDPri>HiSruOsy#Aa1G5rG zY_0^Mc%BFn3EV3-8OJxV*$HThabsx`q!Pgk@siraYMf57^V4!W*(n_8rWxD;2%gE? zF6h&~6qUVDrPi2Sw3l z9)DZAmD*i9l}k$6;Y!t}M21KH89|;^h}L5)GlRM!O*q*7`RjQPU9_}zG6n^(YA2~> zjuBdFEyPtQS8y(KClK#y-+`QObrZ|L@0=Kjv??pJELkr%z_MJ zbr_))w)I+snTEMhc7OD50(^8=H8d}4B>5m@Lpt(Y? zag4aW8Dm#ybBtj9L?5>;GqY7IdJwrX79F2hYKB8EPf+DkM_~E4CDU&=d&Z&dqS1Zb z(d}?Ou?=pm$V?zfN~3r>Qltn$3G@BUG1>EtI8O+P@~z82z7?`uEApB26z#+M8}ziT zXZb9N1+2@3IUGdvJ!shu;2SM2^C3Y6 zEiNY1Eom-{z`SZaDdX+rYUCby3}xK%9QFL1QHp~$G3?#Ho;j_SbLv>)GO2?ZtWOr@ z0OT54MipbWsu0IY*trhEYQ_Tr_T9pyf!e41lRHDp>KAMVY)o68@g)#S7k$OK_W)4Fz5Rv zuad2#S7Z5=-y=xB!P~zOesufs0Ii2I(>SdrrVGpq$K0p=3{sbjU=&i?e?L+9urG>E zssGx4(lfp?J3O^Z6;{F|(;<7AZq?&bfW;GaUf}C@gi!M?5|3W~m*qA|>Fg%ZD3Al5 zXn=j{47&Rqw%LFY&b%Gakjb_7>i#?8LZ9)q`EN$1vSs2_u?j@R&@jHXwzhB#C|pm} z5YfvgLsavnpAPrmAKQu>Q3l`)RIvX!znW31>Rw@KPa58@6FS=#JL(^9aXEB6`J(

C=49xO!b93Rq1s=EJ1v;OA5Wh$SV!X@HoF4E4cUm1ft}maq;qtz+ z%M|mc1PcG4ECx)gAGku6~a48JroyT11$nxSLn}q z-s!a8Xct-d-1is31q4(4z3KUczQh$P8JFXKh72j3pr%AL8W@^!#r|8SpLoSnDUr9 zH-nt86*zV*{qoL#MT8%!-E^M8t%+S{OOC8T++osHeeYgzgc}gDy*|JUJ==Ue01lu$ zXlCE(?)=grTN^RT_*7Zp`HF~tiuc$?nTe16QFqhWk%%wJiT>~5y@x)()!RVT2a{Fn zaV`n{B-v1^RnOOEcnHOJtT)?_JS5jC|C-8C8Di0nsUr<31cF&!Vja(E&a!^5{hfI8 z;dDjSRV&MyYYu5!Q*&&fHbX6eAU5r-VZU5j)n)l*?6j6x0qNUzPwMiHvFs`^;Qrl& zzE8jr&*=%y@$n$)HPv)b;<`EsWblrNWR5khDQ3cAgDF=7bZqI_Oeh)ZNrt)$dHc7beI%9UUkhx2P%p z5z{UzC*t=oAjfEQX|RZWmBU{l8+xWQzxGl;_@-*-!umt%Z1ToB;fLG=XpQfo!8=?2 zwG+p7tbb<{sCuNedEnB5`@Ig<1vogd4pX)$S5glt%M#?X{ubljI z4(r$4f9y)A5uhO4{Jb-_VJA9-_gSwdo|EWUcDob$c?5YQ=@( zH(GvCYY#!J=9obj2#b~R;Y=A{3SI8otcl%jo!Tr>n9~XVRXfwm|Is=A)+yxlVi#Ff zt@iN@y<8=o%24$2WYxMqU@BPGa<*$7+r{@RJCw)Cyf^Rz;!7*Y=)gO&)L=Mp6yi=n z4-FryC_FwH6a4x}ZqnE$&`%6{s6ojk?3?9SH*ox{t4n5CXZ`fXh?7`+iZ`f>8bIe( z89RyJC8cqYK#3kDDB!=OUw&a~_T(BU-hDTz3CM?l1}9L(lE_mjtc&EhW%*yTJ`yh_ zw%01SWUQVYLq|1#HYi5rW}-huOW&}Ig3RT%`aqIVga$8~IeJr+C`uYUeD0>d*IS96 zB`_-%Rd^1@=IXIlwE1+=QjK5n^Hz3OTH>#qoo$fg4;?DRt?X|3 z=HpS9JY~=3FC}zeIpn;;lwzkccsy9lON2I?GJ1#CfbX(M`K$_??e%py*pN-$tIyPz zt;f4&OHbGMl6^!aQ9lItHleq3)zepRk*$(dqC>XNSFDfI%BE!W=HYT-nVN~zD+TY% z&J1J9YxY;at7|JU>|c26A+X>dSs(T5qkrw$INL1ZSVh)Wtez=UHTL%wvz*;1!~0y9 zo+d52@H!+krLWo(@n-oinTlygL)y{rJG3_+h#?L42zzxxT;;tncakq3kFM&^uu*9< ztW53ib1Q^RH9ic$Y0RX6W1HUhd{Id#-iiAGWA*q>|H(kcSC9=GibJDjbaRtfHVy)=D&JtnI-L6vk6#JrR zJA_KO!-wqWRlLz`sInfV!W%L^`K5XzA&@p3IgRC$EbXp1QnX?>`Oe*@Eat^D zW1p7R%prj|r%xnwcHF0Im+>zA2YUYOkGdcq5L_hNR!Qpz-pZc-QW|L*bI5!b|GHv% zPQ+hm#qp@Wf4+XRHsh=2#&858xRV>3SE3Ia*<{U+I2iA4ezd=mC{HfXD(;$cuL|bD zSyu2e*B6{oEMHrgTF2hdJ$Mm6($bNaC@jYotif8&6l!ruo`8!sw(8EK&dmg}v4;VD zC8y_7Z~?|||Bx76KTl$CiNK?`IZyelwVkbihYHG86Tg0ifEuS1ds+dYOo2{CS$FqW znltItD8R}V&_ck1y|uer02UG10$d~sAnFLj);KW`Grod~V&3e5F)t~Q?|u58lQ?(U zh9*V!BnK2_LC?igp%vKFgC-wUJ-ryg4?DTQc-K5plOd_7PVWD|B!ZQNnJg0bxoVE> zN3E>AnpWpJZ=7(U`!n}O*!OL?ZK_#~a)LZsT~ zstE>y@E^e?t7G!-^N+Yb{yi>sk4I#1a9=nAKs*W5E|-;3^;_Dd<&ACpY1p?^D`^)C z-H0jnJ@U=9LLNI54()s2=iziq{?H)UGJMjVOGt!V;gPU=&0L6Ar+w&gc}NH+E~P5E zHTfqo3S=0_nUr9WmRhW)SZxIs@sE#e$2ZlB;V(_gcP&yse=1J4^m&wRhtE6!QwbV> z#Hd?y!P2!WNxr_a`6vAJEX80+BvpD;-J3&BGwRV}OjU1HC6X=L(&#>Nk9or1 z_n+00H(9ZZek}JjBK}T@vR+T@T_-PCSn)ctwX|Ky1OlWH-@nB;3Fmv9}4d?b0hTu1&v9({9| zq*$$4HGH8aC3TwNHAKe)?&R$N$GY%Anu(XHpC0Pk(D++XfwHrP!Gf`B&`Izru0nB!dFy8LELzYwi;B1p%$g-GM^eqlM$WgfB1PoUEYY8e z)y3J;XmFB{eoA8i?fx;Yho1fvz5G`iN|-4i6mAS(TNGGcXZ1HziG)zKeZyCzZaBxQIEL_E~1n>9| zYVl&{Dy>%qXfG+nKvEQV-PA+XojpV8bKBCqd93ts;vKiX-#V-Gd2hMFm4Q-+i!6^b z^snZ9Q{pU$9_wPq{T+@g(fF@>Jg!YF^{5&>9-PaU*eL&nG`wy!e~n>7AGR#o=5%PX zBzSZ0j+J*ibdQT6SG~1rIQqLShVCJ?x6}W0MuPliru`HND)M+Px6z}LT=Mo4upI?D zUcqDzP4HgrqTBa?2Lt|reEew$xU~W`4$zD^K1;-g$N_9^)tNyFf+lUGIfO$32cSV9 z1E+%qouhyi%kDT+%kMVuijUj^ny}M9~ z>Xoj7sG3HN^H%C)L0;{gF?cEb(fM3&qeoubovX2NnzZO-%-M3yhKT&{Y~8twH8FqO z{6pSS$)?huRI-obr36-+^Y7l#Cz&{ZM9mOJMyg@gJ%qg43Z%}54okCDG4=J)khSG4 zG2#Z}qJd;?7{e0;Y_RTGLA5mEU$IFx({Nad%xGNsw4biYW`eP0|DiY#WIIOkXH=P?N9WVBK(_uF)4kFi5i(Xb?5)W3n0=EY+E-;pPXl?iM0IIN&oH6;g-L; zKZJS$*2e=2b!Y!63@4Z^%BprJh8ik-l18k#*sbYIrbHSMa2d+ga6#>{4!!koo%UfZ zWtT{SVy8<7p=9~uea3{zW6i;T@selBywht=|{y1|rAYTOYCw5%_k>kTd-Zzh3$IiiuK@$FFWL`H!>bNa+?_s;Vs(Th1ks-d1X$t13u$In^ilS`I> zZ_pahaOjO9WKq%797s`BrgyunoVSY;MTDSr8R5`DT~PG^VIC{IdAbQnLL3Nk0Whyf z4HV5mbdfBW5ND6qpftWdBBae33!ja(l-rE_k>;|zrQxJuzoxqQiV~06`o%2hpXnx5*E%4;i?k_A^vZ_V*EQd zy_n)@k!De?ej^c>4_pO*foS0}G?ty;|PdVW^MnLh(T>P?OE1B@y`o0Q; z4#y!yX)DsH=<|tRDuPVc#d8hL$U$1_+A#+ZMQe~GdTU&)H93j55;JRjgI^mqn@sTjs4+PjP6b}lfFb4# z2zW4^`lvz)yV$qq z(5EzPob#`F>0V@_QY#hYydo2FCHjHl0biVYmFY~zG}(_x%E}gG{R@3IwLS!Hu&&xUTkRsX?aaR2f@=HEw*HWrvFOWom!pEy`gOA! zlYzEFo^*RDH@nZatI@9wYc^FHsCMK_52NgRN==mdwX*4;4wBWeqS914zyO^?e%<*kTb;5gm40IH=n@=j4Fi=}DP<^+kN-c6-w zr^PD6FL(U(C=bhQET>D4Qz)_}(B0RyHk4p<}L9HX&RGPj6l0g%x^&vSOs&Up4k%Ce@e1?epa%3@JWXIYU8tZs(_^HEgxdZ7c4O#;+U(eE9ay>{Aamy{E8ntfY-ShHwgx^ zltv(2nDN@h?b?}#$09R17QkmE7?QwZVR6*!B|>@QBWlnJ1gC)c4TusGR-??&UQUbw z(lK_R&I5i3e(LPOwts3|R+U~g07nit4!rHim;oC&wjY)#4Hn}gH!!^!>y23zO4YlK zIL-R=PcWFNp77;z(N<^y=w+OiRwRKD`OCm2!)} zwL6TGrUkE4L%HdJTEx@hS2b&CJ}V6~;zVg&%));f$#+gS# zT3UEpTN_MUx%k7(%b3=9{dw%0l#rBfLWqpTgpAC8ELZ%aP|7Jg_-5e2w?} zRkf&mB-6)nfx!1iok$-I$zw_mWO1GWLbc6AK( zUsE-XWrcB!mJUP=#GkY^#0xj%eLI3Icaoe<7ThB|L8UT+q?vu_e`WxKt_Cet*zctB1jJs;OH36rQm z5=<3u7BK7KXsjFnC?R~rF~{8x&8x4|U!RH&uYSO~E-_Q~K6soC3Y4$%k2!wtV%6we z5hx&CZMG(vwWx`N8MHn(@3-B3L!Y$KGxD0`o7?Lv8MyC5C$A$`sjS!8bQNSF34(8I z{@ujYf7UdJpuk=YyFh&D!Dms#M3Q$33L_#-KKyXG;^qDSyieZr!|o7X`h7f6;kplUqln?1T2 zEc2Wb>4bM5wGEg)7`QV$+=^qXVDEBlv|oFiVIsV_)!GozPv>Pm^zgtRjumRVoc?ih zWqZHIxETyjzce>tIqSn)jj|xs#_t=3FuG@Dzs~uc3%Dj@M zM|IaO94+=|_ZXd*)2+GSG9L>2hyHu*9N7reG+$EYQK!jvI{JH4DPLi1uF%Im!Rk(T z!E+6+FDUgy$uRQoZ5fZRM*^U=ugw8eO#aVfjEnr=F(QO#%Xoad4{^lO^kkco{D2Yx zWJCsnM2$bP!ob$HYg+X=Kzm(g`BqQf3>8`>pei5q%>L*j+g)__Ga_IMF1R@#_H6RB zU~@A(>;)CUhik)Sge-Z~3du0ODP~X2bN@-8TO-l_Uc%)X*0{Qaq-82>)Eq+z=zLONM9pxxU!Pn_2b7pK(+s{`*T}^OtJnO zDjeXW?;Rd)Vb&H-g<*xiG*^3BgK5uC210^tSC680IubT0e=j?{O&5n^I04O0S}`%P zf&faTsp`d}wn*fT?@A4qvQxBCgn9Wcm|WJs%Kq2e|V?J)+-RItOM zGv-d`HUTL^>oWUZ_HZp48XC7eYjKZ>X497+J26^dxd67KU6>FD(c*Nia@;K1UDKRD(KMQ1rG7YVFA8 znG;}!9pi!sV>oGnAa3GAf4P~gC=GyrH->pEvY2Amp4xEFg5U_ZGx@po+ zV5p;g3)#QHJiHOYr=q9mq|pV97N9~F)@^z2*G)vRJ)yx0g;`J}`ln+f1fd1nc!J^^94uomylDP|J5#Ik2 zMxw<95I_zQ^>FjNc8V%wIp=P@`2DadEV|a~=(tHbUXfNJg~@M?d+(f%jyv0bm9;{SsQHS8s^t0#|x z*wtO#EdrDf-ez>7VLJ$U9VKkitZ#)1Ig8RER73(!Cp1Xd5~OMnGj5ESqgg%Jlz4dz zB^9m{1xumY8x*Hijx>4jBaU05+8M)W2?n5T$RUTB^4uxu7*%ohF&#Hqdd3Q_F*n0VBSud zW$imB2Izx%_KdIAe1aFVd^!WSytrMnySolg8eldB1qIfc=7Y&8k^0=}tVe|qGCJj1_H@Ot|K7orUJJTxMlC+* zxYfY#*ZF!hlRta*%z9oXkVPv|Elox5ojS6Om#p%$R}Pvy9^#VE4UMu8N*$w9wQgMG zAss0m8SZ!FOjop~$DV>hEJuca$_!YfZ$Ei;fDs2tXT1|DVT0ag1cZ0jWi+bjYK46 zYD#Q3!RuqsH@l6Bg~p9<6ONh_cyQ#k5rl}LohPyX#*td=E1lylGmw->>6AH1Xs;GV z7>3ZL@yj;#7xnoye=az-9efjho~eHQJS_6$LnJ;9E2UUMKVNFo0v}hN*vf0JWn1bq z-58+1up{6DrTa}>ko(ieRY*R!)JLFbSap!Wj91wjkSO~fsJQObs;pkI~W)D=s5w@s7ryC%gbYbD@Hbhj}3kwMWL=egQpPjVj~uy4m8!>$WI!T-`zzpv2?+~7A&A`RD!RJS zfr0R#=vc{`fm0mK;W2u=J5=cc?ljf~4rPM(0D+)zx!LN+PaFbQ5UB`-|1L zp}@t{%PaqVC^HL7Qb&@$u?7E^(0epxM#rE@hsJ`|Rx&u1_wV~g0LRC=MlEFqxCHMV zfWQXGDvb0&bKHIVbP;M5Hsll(GC-gKM1ivM@+3S70vYEq{yd2ub$hECEly5OI1mCd zGBOHL%?<_V^tmGbG5 zs;al7-<}6cfFAlTDA|?QmTeEXnoq;Nej)P)QmU1-4G;zz8P&bx;~gIY(ax@u>kr=F zb|xJ`KiL;`svpqM zBW+21=|jq2pph?U?D@C(n1zLf!kWazqcAWGN70ZF+Dvb?I=k%n3tC!)hSop217T_xCS#O$2UflUjGpf|s~@wVvyd<2j!zuvtq6rd0u_ z?1C!gKp8hAp(;*PUJ4h1MiL=CX19xyAz#61N}W@&>;L2GJ>aSC!~bD-p^{PdULhj~ zWzVu_A{jY0W$)}!_Q=f2&Ik$FNA@OrluZtjWQA;=>)iM6_dNgS|9bVh?>fuz9iPv2 zy|4Gk&g)L+rnalWqWn=glW7qm{_(9g-)76FI+s{?0jKwxius42C$prhS+u?AF;dmv z*@!a(=LNHFLO9Kv0*T7O;8ad`odU7^A}JVO_ULas6)kNCm{*{+onaa~>$#edBIH2N zqf!_c-}7s03+%4pDRB@DL3RxluooUur(ahS-o~NEBOF2Q1B0~ zP!Mn$U%0w{huD+o>(p!eUbDUjbCzJWc-%1DBF<3U*hq(Q^F{LZ8}ok8^xk{`w9wJj zVB8-^x^O{ztR(871GwOngGW6V+!AA^YE_y&084jL7`-+lqo6PwNEM)@s&kW2VIj6R z5`$j|+$jFwz6IjcgLszO1wGs05XP(G7pJ>UWn`qJ#!FpMOXu>i<+@6mZCUx)c>rYz zgJd|pdIeI;Suk00ie^3We5ZufBeOkVV#}e*q=faiFt>T(k}LJy11+^6Z1##dzXgzqEPw#@M32FD!I%ifv`&mkg@%@vtp1wX3(mU|^+ECPqxo>u? z^d&Fpg3`K`yLOgXNYHG9xD7y7r8fj1cs2A|V?{Q4C3*wzET^dG8XTa=%057wiofDL z-2nrScwPHi8vpa%tdXfHs_v(?_H_2B#Sr0o-K1FKI?&wVHGD=QAT%a0P4*vIo%;)} zV`{pU&(vrE*uk5ZNsfUA@{{k0+uniEjI%N#*{nT;K$oo2If8BiZkh*fI~xWL)lWfH zO^8oOXt?`?6c6K70s~xiulLvIY?5LG?%oXm@0ji*0_A*SFrI0PXI1gglkTPt|G2nl z0zdecy=~GL1*iJC0AEr`e@k#0gJ2}h_?QZCvgywdOHNK)OQ|g@Bl_=A=LrLM6VMM+ zov{13y?F7$1WaR`B$W*f=`lBAe+u6%GlpOujd`%}0a1Au-Prm*##^OqfpJ`6(F~)v zFKarsp5@CO2?I~j93V{;NGjXda2fjUe?{@dfRGtn%QT)mNgR&}E=8=GRaaGg-=8pw zp`n4z`}@527O3StM}@V2E;BU=io0*p&U-9Hp;lkO;<^b5MW|&8v3U}-&?nX!k{5rL zl^Ve6M@jNajol2I*m~`l-N&wHH8&wC$rN)JkxWh6T?L_m&qs{u3S9V+-iA6_THoO+ zja+xJrFpiL3r1x(!1De991gfCQGZR(n3yD$ITut4C;437W7LoL85w`RmDbRTHz@K+ z09CvhD7u{lKP|a1N#d=Gu~uNBmwx>Cf%5(XDvxrDz9iJDf~X{3JMYh}jo^7pS(E-3 zV5{)mRX9HW97=H9SNb{ssUXo@bEkjK)=i!TSS7D0>405=l<0K0w$M@d)WY8lZrF-b zTnFWfuc-St8vb_7kzLVs@GHN16eimJtKn|dn)P=gqxu3%bG-g9Tr#hi&(0p@5INz_ zQCx(2+b!v1H*l~GDqRH{ZlWWO3{bU?B5j9q7n&HNSeL{wBoOV>J#`VY^Ysmz?aArj zt0A{^k197r4EVBCI+N_5QR0$Evf3N3?|bd+jj0#Vx=I~>4^-;qW@7a^#9Z%~IH4_D z(*VZp?RKKutTjP^qK0&uhI^ljWjqw$?Z4M>&*xe|%dHsk0N6fJ6Oj=SZ1`an#rnj7 zHbaspceYe@!({`SkJ6fz@Gf070fpS+;v#ASs0grbi_CvDg5nOcs3@V_2CgXv0sx5b`>Zd-tX$!AU5a23kDaUEV@daqYwhK)e z2WL<@V_d1wv}Q$GspC3_9Xb)1YXYJhZf~7L$=_-^8gS^sVYL9JFx8|_K6?y_)=?sPN6)GPceF_Jy{BD1;B%m8ld^jjx= zU%qTln#FX^-KsG0-EO@yS?|uXb;8BPwF6mc0-K+oa3%&^YJ{KT4p->qD}>uk&F|mi zVvO-%O)nW4yvx-C1NJk@E)oV*r4&@LN z2)N?uV3jee_BnFKQ~)AoXOKdJA)joW=#)GSR!b9Pox2r`?M2dOco#o#HOpTT@qnrr zmJQpzoMY+|Sk???ZKP`%D5XDtW{zIYY@#F1t%_Q-8s1(TW=*9|y%NV#ZbdQdMSHc^68roT|z(u0Tak|FF;D5OTI&!^X4{WC4kPkw&Kh z?$z`UZh&*r#n8Nacdv%Qnjc+3{q4Qw^g!pNSb1XIm#hI;H)Tm9?HULv&H53zG--3y zjSlU*mF^P`1Cm!{c^Pkvv@t$PisjGc|KchCM!?g{>%B{1=|QMgN$H0fgW3=E3a^g+ znva-17oV)Vtbc1<6)3g=L%G%S4p>ZiR z#VfIg8UcIWXLq3R^J1vfDHBgGd5pXWSX0dVo!FuGsi>$hr-RgrG~V9Q+PV!YA@v4H zF)_>{C7)Ni?5akP&3N2;-eeuzik@oNp!z}xR`wL&I7`-yUg^8^&yacTHo z4SU0y^KZZCa0awyv&Yqdw?+yVm(<0nOWb50$A%1gy4*}*+_~ybA2G>I{(N6})lVV& znHuh2dJ-cj%fS|=sV3OR3hjvTf-z>GAGY4rJ%hVdW5~&z5*MliWy%Tg3C+p8M}m)w z*{p(`?7#)=muIM7v(%l>CaBKsc(((1s&``O0 z`b1~&+k`@21W0R_FAIBYXa5wlB(KEFx}G(p;G-?Fx3?E|OvRn-*uUo?4pwgp+Oy4l;iUDVKxwgo7`nb(Z!{beWV}(e1X`l<0(*|vIDuiX?b*v zjEu%vcpRB@IgvMHytUI~i|gyfeoXp6dYlGhR+7r(Dl8H?Y@YuZZ_PL6EYsN0;Q2pR zQBlFX$wVX0kuPZU(c8WMo}Q5HWVWIZKp1k=a^VcrSFvTAcOrS3!is1V&;N;%XBu#Vf+ljh zOgD-37j8Z^oH=d{|J&`n5dwH?V!>p}K}eS7(DwvNHQi`vQLlYv&jVGE1cy@sKB8=b z>rc7jQaWv^C5&Tpf?c8hjx$hpy<8%H^DjZ^s0#nk1cd#)*k*wMcnO!Nt?x=&oaH-?;)Fh628td+3vqGL+nz9xjDA)8p>@1Kj}Oi zL{sVbh{egOe#ByRWLmt>X`)0DM$BBn!f*|6gN}-L<=^pWfQpEHg`y0UQBZ_{6``J; zqL)#Tfu?U@NB**3`JKp`nwp@=7k_{2_5OJb&0UwC*3 z``ACbGc#vjYkB3;z?s&l5afZH;+8F~g|?mFpwU;=@jwgC?FHo}T8U`pM)pf*l%kpkn>{ zwm~~@KgwyO%BV^(A;6J7du{7ZA7_OMNAj>A2V&D!qi%_$s7!gF7r#A>xRu{6?)XE3 zL96kjs_%??k_iB$a0@^BLp$c^DNa8Ut(cG0Jb>)%@c6+hUzt(^fOvB}#q^pMhlgW( zYL&DXer|11um&Xl!sV!k{x&?aCflnPw`lS|Gav;{*8qW^NT=Iog5#-P1=>6 zZGtnuW=o`+HcfojXW63=X+*&ohi4w)njgmwfr7leDZ)$1oQ9BqpcA=AOq4uaIzK;O z7`d{vl2aFgtGuer`pCH%&^TDdsV|-$HiDy21YChJ1ovIdts;7ZY+D~b|A9Uj(QbS) z^z+GoODZvT2?8f1K^0W;KleBk`Bs@a4i}yO-V$h}d|LAG+kuF}V1(hlU#^GK0Y$u2 z9gjU8d=9qO`JEV1dh^Qp;v0j*m_(tQ3m3Hn-cFw#gqe^$^EEdF>d-+$LtDD9qYA`I zCy57Jg6YEBG==yrkbty!kY0cKq&9{M)xmPncEMiP=bSWHmbucX#lt{93>9Q240#Y#g_jY(n{GYe9HHTQYg7GHu6`tFLq*!(zuCN~ z4{~&1+?S>E{x1CY;I}fXVcm0s$q@P&1Wuh$_bYGx3j!inmNnvh1MR<^=k z=!q>Xev}uq{b}kIPE&BlZVws`F5OetuzTY0}DW zJZx_R2re!eJ-xSg>hF+87TU5B=zz7_5KlpQWS)kltBi#O>yUr$&WaLs@DHCg%*kWW z{6Ld;O7Kuf_E?4=sa>4-0h_xwIp$QYidwFRb>&_xIBX<85*kL_c$}l*?Ck7;PV{ebxLeWwCNAVkuIj*d%>CtKF}ngHH_ zZVG#p^qazaX#)``X5S;W9vPM_;<@aE$S$Ii!ou%SHvCWD=7n0K03-B7@;}upk{>bp z9Vl5wkrZZa#`Z=jFU^-?)q~q2tyt{;TQ|))?tiGpOWM=SOW8#{?2*s2AZ=gydATnH z{bL)4%I9ooeP{SrjHiFG;Te};x^?rfneA$c?j0PH=gyCxOPzb4v<5kj>yR(@mi4nO z$OO_idv6!(_*{D)CEtERk{PZ-JOOF!4MW4Fc%;tPhH8j&K7G1jWo1=Sr<;^G@I+f1 zw{oig2RSNDJprKk7@vS)?Vj{b96N$3%dl(}M^x#1Jk!W=NZ(2u z^ir%RDt>;Fe4Z&uNo-V;(TP73MQ8YUcw*RXD=R8kr22UAF&7A4ynKzEn_G=}PO58j zf_NW*bMeidZ9&%}P(fK792{}@{kOlAf(vQyU0vn6psb>g9~1D6;UPG;z1eq@6C#sU zQf70@BToofk(!LvCU?6GG_!O>c~k~^^G@Wv%evmEZ2DZnBDwvLwpLJ;G&$a{(Dl5) zN@np)ImrR=6}X)Z2w(LVXeIG>%4#AUx{;&qn3GMZAVN%9&W zX!_YmpmYxj4KbC9xf7{TPxmx~kS*fw(96{ST~Ol*qvS)!_k3Ox)|z;HXSw7T^D&;D z{}UxaN=jDnWx7V47y7}vskJ08Q6lk9c+vbiJ1 zo3nKtTk)jkk4yiT3otEGGPY*QCUncaL80;i<)e}Hecf_X95D3bntBF6e-s$9&e66B zj$88c%?um!8h1vJT{`RL^lHN3$$$eDPNb=_VNyS_8#$Wy5)I3`F<*TDczj$7ye-9< z+~BNZT=+H0)Kyg4OKRsi(VCifg#-i=C*^5@JduPj;QY0vJPnjlGz4}=dig$lNNC@% zBR{o-So@rwPCE-IpGFxEJ9`UYTV*{6CLI%#cR%ZyF_G8E+}CUe-O0E5 z0Sp$yeo*BjRg&)9+$eqBF`BO;7a5t5)ZDc8KFt)N^?4;pUv{@lvlOb#&h);mhTVr6 z-!qd`grn!2`?&ciVoP+(rK?biRz(|toOY`UW6mG*g?^EN`@HA#emM=ue9r2*t^JFp z6kb?kpUoY~S*4Z_?4OK6b~dvZ$HeV zkLZMnWB7S(yqQFvD@anhA+I3gMt#*&yZ)2J`1k;bJbxU=!_y#NFxLBxUAaziI)cKi zQr8jk*t!0A1|Rg<3Pw(|6GPvqTVtyy?HZUPJS*(Nu_NQ+;$mS#I-RQ#xl6BwWl;itnXM5yi|zod#Tbc{lFk$;I?4;&xyqI?v$SLEeQ-6zr{ALT zqDbyB>(FIIY}`3NpKsG;Zo*`o#IF_J=e507S^24XkJE(TLg8)S3*NW)j0Fo?MW&-% zZ6u}NO%jHV`4K+2$mc_s+@n6sJtRD53~Z*gdmv*o^kktlm!6@k!Eg3%9WmDSP?iAt z%~Hv=qs<2Xd*@b1%)RTg=Zun~qqO^H7H>E|oxN4qb{87Dei7y6BRuZA<};ABP)^As zJ(R)y<)0I?$wyt{RBqB4GrRe+3>v?%4jLW2 zPw(En`Y=1S-1`P#>fk?roIykgmYCxG0v2BqQvR7bJ@tVdp$k83@8F>OcNrLA`Oqg# z*R{qlXS*?MxtyRJlQm3-*|-c>I_VQjURet8uI- zBj<7|ugRr>!M?Jetz)5=daeCG5)7! zFh=I8i0puGL^^3JIP%sFcJaerbw6h1Nw{!-8P|OpQcn}aWJl#xE;zfm?2KidYb6ZF zwX;^)biJnfxwdvQ<18sFD<Zy4{j{Iyb>M*A0O|BO28UHhB$j&53y6}|5UGK~bh=j{MYh2RT_6iZE#nG& zT8?ulgm`%@22;dRYkc6QuLrIx#;q0XZB0B<{2Sy94Cws+yvYiSS1>F~_ELz6wE>h! z*z-?bq0fAt(wptm-JwX8!6TpXdcs?Euy(@=A8FPd9p3d70JTMk=q20F_dsDxV=<2^ zn+&E3{A+k$$TL#Iq_e|3T7YpA0EqKW#O3}pAtFphuxvPIJ`%WJ;5X9&ExcSlHU*%T zx3hdSt5TwC2!j0z;d+2Ck1QyGP`Y4ju_K&B904x?I90ytFk(!m%Eg&Q=gAYYm)8|K zWSIp7$S{CEn|fwC?;Ma$t~CGaj~|J`0R1C`iXu%7!==|q*nn{z$*(Lgj|<@a(Wkla z?Xm*Zx6>V6>8=4n4wN`D{S?`SXIp4xs{#pvXaVol&~{+WPuZqv6x1 z5twxA9J%L`SuxYeLhtil;_wQJ;+^zdrOnL+lMKh#QLTdFuNPY}^aJx}&u{5Fo=U4O z1Vo`beGkuqlhav=j{4(EeyF^9cVcL*b?cnd923}Vxkl4A=dg9P`R{zLN?f~_MPiv| z01V_#$1=6ksdtS8Pt-4dKKQVkgYFDxx{^8Xs7d^}jkCkn{2qU} zDow_%c4juzjHScVNVNM4JJTzEK4-vrd*i%e3tQCAj4$Bc{SXeEg+@O%ynC8Ek^2OW z9%ok#((B2eB!5>gwr{oLX^i13W1#oHaUMzdqiL0)y-aAUJ>>BQFU_9r*-tyv?g7I~ zi&sQVzCz!AO9c-+7tNlq-dTIYwKFF`vr}!Ak9x)~(B6 zHhp_ja|dqd2MLx)$k~Hrbs;Zr?>H!^!NNI=m>t;?sofyIR57z*X=^JBvmCfq!I5N0 z%vFk8L?XczPyx(yzDP6aXDORs=8FC%mL zYg;Wuf8H_poIt_yYh$A`Q^K3~?%hk3Q?z6L>3n9m02i2^JS$LFkhn;`t_qR^QZh0V zs3^o=QC!9TWYUfcpqkQjd}3m7@O6qdTR{@{uR#7afvhG7l(@cS&63FHQit3N7`0?^ z22z7DJONZyb`FkK$g-CkV(P2VdTu0#JE*v7SY2Iha&dkJ*CLRTFitKzZxNCEibcJ`lfHW3xho+RwBEoo% zqa5G$_|+kwRq7=mVJpQ%-pAciz;LXf0>zvQKN9!%&l`|nVctEGs{pZCDUq&p15-M* z(0a4SbynN~SLp~?6~Nf`U1=#HX1=0{0*VZ*wy!b31$f+z<|(C!xg&N&MeHb})*VhGXtWqHW1)k4Jd0(m=N5i-W(mU`#^M!dDPRbG*Tx$We$l1Dz8;Ak@VIBwi zd_E|8VFVrMkumOBrs;-BaGI$l;^yWCHED{ZujqUAtcSC9?4gljgVKj|7}^8OtUzSK z2eA^WX@cAcM5%4zwiu{L{%+1if`~9LFV7hE!xVAPil3ih(#xf!ga%UFWaV=ra2=0> zViOWENZeoD4uEle4lp3-=;%PansVH%}LPf`} z4@tfT{1c4Pf-slv)2c9Cru)(Myp;GIxjGns{K?5MSb@M0!3bTcKR3JPUJkQ84IhwXY3*ak=Qv17=qY ziSF;l%oQM3!#)H*QIX&N%YK;^zp|AT2RV*ivN-Az85sCDSNnE6LBt?c9vH&BbQ#v7YC0R zzvN@ZnGzA<)l9M_JmIs>(dk?Xf|i)1ky8sf@F?{s4%UeyS4u3Q71c;zZ5(mqKiZ*Y zQr@&>8zRvcvtC%4EjT6u`RrGkKR>?~y^p^b{KBYE=z02fAkAuaM`}pc)#=lv+nenQ z3WMmcT}wGbv*Pb){gE%Y>`%+whwWBx*GeA#ojU|q)5FtcNNvsXb6`Cm`r(Tz7L7^% z8O2JQ2Mk_u`k0TNg7k<~gVf|muBXg$c+PN}N!eq6LPQMM52K4*soDfq7lh{W%lTiJY8@FD{%g^Vq zmJxYiNSL>bTXHp@prNae_4fU1vg$Wj1G(tym$79;>J@vV%pK)aE+MVldas2zyUEeq zGks;D2fWWIr1H$8QiG9rEdE#${HRbB`&gk&!2}w9?3~*|@g_RrcEXu%y(ek)Jx4DNaX7LH)f-}4FXW$M!}zyG3D&B zOSfcJTd>bAEmFOlSjX;*2vlrh4Al2Opnp%cif)NvXb*H@L+czMT90pUbw9s7v#p-> zTbt(i?k%2y*K3`19ySXc=o9@RH#AW_;nIE?w?c&Bo%D*wYV0-d--(M|KB6MWuM^jh zi<-rjV<(NLyC0sc68=50FP}xX{Th26p-4M#MQ5{SsWGodr%SI|h$Ey-U5Aw?U-{3k zeJ@PW`R)-rXYwbXH#gSS*0fr;;D+vHG^~>IdUYQQ7>OVS$tMnjaM8(T|K{I$|8%no z@nYZSOP)0*m=Ica=I}hP%S%ThSeK}uC&%+?ZQko;P5AfWL>Bf0XQu;|UKE@A$M9|h zE7Dn+S)nEvi9k@@r5{@MaCY*mh!;%oj!EqIRE}>@J`3^q9WN%tPG^(QFQa_+h!qKs zF4-k7Y$Z!HJ1HG=^oEsdFsE8I^FA{ha~-#>T-3 z8O|fr5GduW7HoO{6(HD#bLtVKV!gIWa)WP18T{5{np5#igePm^DBYu8Bn5=1JSSr8c zA-?pU2K|-2N>Y~@mC*05d}hPi^ho?5^6$qv4}QFEx%J(y_C)-j|IKQ!J}FK$-#kug zAFibfJ@^rN6KqBYa+ueKf4%|B|y8z25UQ#;zv%|pGH_`P zvtk;I!au=l6*fX=8e2v0d^fhpT7n#c^Co_QraG;$64fgc3&C!@m*G>fH4`QjBVoFzOwbkv7Wg zNW417ILsrqN-O%aW5(#l$c?AkkBBr;1~P-nR^{i<4T;$J!Ot0+>Fkh zovxkPL`Tx_yzza#@)d7zHR?SLagheIuc!Scv2}v%kxh8We;9W}7z+=UWdkCmrYV=r z`^jrA{-+ZCNtb@^lY<+)CI20ySw_yf=AP*3aZ}oMJ>8Y^zu&Ds-EqvWBx0bIjPytO zGG#u!OLK9mmph%U6!M@zPEQ-xS##i1>f=WlY2ld~w;L#iRL>V^4$v76^T85!5|q2g zCN7@F2T0wwb!(9KcGPU!UDzFC^wFWEdEtz#!Tl#bdS|Wf>sMs9QSJzf8jEZaqq-mk zg=^R{+EATb=kTp6vyM59d_;r!v;aMlrJmUnQ$j-s9nk7OY!#Q307hbSciLo3%6*Dx?%?C+|J70h8MOTx)))FH*ONgaA=YfB*_0B?(59!!GKk3e#zAP~! z_BvDJx%8z=OM(#}kRQ}Yk$3tqbY9@1Xk|g-br-;WMWq{CTQNs|_V%S8ou(}LnE`Tn zX0--qqF1&IT)ZLCrlsPexs?^x&tJa+w2M2zxO;q6rFt!5Q4=;hfjpnDd>Sj7GwLgv zby|oQKAOIsWD_j^9ShUE_mrPD-iD6c`}J~8P4Y71oOweCN#imL&lQ2GVre`cx@$Si zl`N+hHTUecdFd2K)=dO|lKPkRG046&iG4oG_{0bwYx^FP$6LSZk+K`MVl&}W7k|Be zpO-J)Fr0Q$+&dP#@^CTZazR+uQMbBVQB*NHwFB~oh9@QzUf9XW;p|h@0wEd%T9C2* zMNq+yO*_XOsK9snl-XhdyLvX1iOH0L1SF+-pmzb}`HQ9YUs@A}!0|tSLH7{|j1)_E zCR4t_tB5nXYdXp^5pd0SN}Htf;UDKma7s;0%p%q7urYL~*!w_Z{2Hx|#m>dGv|*VD z0+Q_Ih@XI-PBn{2Nil#~Tl8@gV5DGI`$WkSV*N0|ebDE|*T?(=U?&cgOYveM|1n7Z z&u;<;Gy(;>f3#@IEC6oSavXo9cJCintGeJ-Df*3;H#E@NydSu~eV0vGjg17Rtz^DQ zfBS270UI%vW)kS-`Uj3UEr%CfDYyY8-J@%mxOjF>s*8_{^-}Cc%kA#L;31w25NLzh zi1Fl&nyihsIwtQ!m;ASDib7OQ9~>yL9=$b_i!cnmcP5yE@AWZNh{|gt|L$_ZN$8GT zU-0U(1BF`md9)<0g#V&#k3O4@=~B_(?P}2yTz9py&hShoexm4}ib~Gzh%~kYnX<2F z-62Nm8Lzf23q4houQl1|Yk7Rpxx=4|mD7A$g^zaf9{``JKgfy&e3USuT6zmSPw+$m z7J~t4g@5f=YeD zhjI4^teHr&6p9*CVmVa#43icd?i!p6j<2~c9oH#hr`*^R<%R|GFrYsn}Ie7=nE$68~%)pU_KrMs6_+fs2qPJm3E`)w33 zGW;5B|4BNX5c}Ea@oddt%m%Zfu1Zc5Vxioh(K&0XdF+(c8;`E@GD%(U{KkK$l%q8? zG;MM3q&@xOGnz|y{AfG;AU zCHSL2|I-e{Z;chXp-%sKjpAX&;ZMCTE?7&EQNyP@AYc9X^}PzaRgHN+EEL}0{hha? zj?aE+293|WM^nFokS-WO6qOG2^|b>^VSbXJDQ2FSU>ALA3!K&QgDbeWyjG(udQCF` z@r_JQg2s`4cN%V-vy+1*m^y$aQ(NrK|9!9nuEK4@jDXq>OcQ8Y`S;5;);*1T z?dsorU#&ylYi7oSQ&j^$@@L5_OOGi0uRuc`v}GtoB6Q)#eSZGK^YC2f*%HM%O`)w1 z40#Us4n@Rw&$UOq`wG4>a(BMOG*;4girvDTA|AqxRhW5ln$KY6_u#l36@I1bS8XqNAhR*7w_3%vQ1u|8*w@ z8a+X1`_0{_;O+!uW#f??xodjg3;y4==YRf!`kM``vJRY5^Lu?25q&}m0SS9iiFeK` zZb#4jw*Rp1MZ264KF=7K=66C!u9M6tr%uQypES_;#oGo6M=}fcox7Q<*H_{eBs_5Y z*q%fXH_l{wQmTdpPi1#|hYq$Z?ngE)HP;B3T-(dxLDFvEa{{jm~`JGg8%<*B`LrDHfWsmGJTp9*~CRRHTpyfSiz8z}FW0V9kk1oGVO-yaORdmf5Drw^To8B(t?SeM{pf}mXNSY=UaT=9hY322_8qflvn19Z7%Gs zHr^iI&Q;JziTmR1>!$aQq___`*02?g%upf8izB_oU7y@{fya&GXIwg5c>P~@04p6^>YZZk?TlBX~==TQSZJL?McXsg{hA~j9Hpj{eRz)=xGcD zN$XOw@WTX&mk){qvp(r}W{)wqAUCW+I@mnhH{fKkI;|%tyMhTD zEd3_(oYpRt!{WlkeivE`yyyG75{LC^t0qfpZs@MQw%1y!i2hb+LW54<-;ANyq7x4U zNkXgl+5P7X_8FSNG12_Fce^7@ZKwwck;f%Zqi`L3d_|=1Y)`Idg&0YR8OvB{i*mYr zv}B+sdo9t@TIx3|T1ULaAd0!`q-#n@$n4Z~8tEwF8)=-prn$#^4a+93g8=nDN|E73LjQG69ovblA#Sq*o};caz_eNY|PDBehAdn?F^{rvmAJs=qq=|1A77tF^ z&mR5T@U)oUWdh4?6JJS6{E%*f5R;Y)+ffu{$l?@0%rNqU21@fc8ig|W5qHpM$mYb(Hi1=AhoQu9gZbY$%c$JW}+e3tZEY$hleZQ_r6%Cm7u6Yg^p~fc+0D-hyHxB%LAk4gyQuKWZsr&+|~X(CRH`)=~Ik9b=xo z-(=Fktc%g78S`83Jz73P`?Q-+b{Z@ePY7&`p`4zx6(G zJh|-QI4J8nlc6hE7oYqS=cNvH%p&yXjtl+Q4!?gAraC?_$_T9`N^et?o%*5JtPp;E zFVrA(XX;>UwejzC=92Jf+XNr%@tCF2&|VnVL3Zj{6t1plk!Dpx8_894VwahR{o0Ge z^XUQRQVjlj;dP+1Jrehfm#Nz49)ad#e3Ziv?BDhhG1_nQmrDN9>nRy_W1m0#y;r%W zRH<@JCt@gA>9*j~@_0U9*{)Y3Oj~4lDM_!fAQl1AiG`v6-rk|@9y~!uN0wL-wG3^$ zjF*?F=Htgp#GL#{5Q4){*2x7}wBWWvyy^`aK^(C>7JgZcVla@Z{Jc; zP{5~&W@yx*uz|Cr@a)+$u)$BV;1d)C8z(t@N+%=$irU2jOi24$F>4yO2ng~5=D>{& zQ%W}5i=gBQ{Exgz2aQg_3w?)*Vz3gHm92FCKA6rXb3On z+iC{JHkRb>-Mi=?FqP|Y89DQlf&mIR=D@=rwQZ2$X|R&)wnbmoJI8MFpLgSzhNc=$FL)pImj;MYU#+xNa5#KOX%MbIzqAh5yQ<7v6H)(TH%!R z3#ul_zZ)TlYx22^3i1l8E0qWWfr;D5`jXYF z>`~gS6?edWqljM_&c$p~LjpaTU%3JK9~^ z)F@3WB~YlTi53Q|7uD6*h=wv;6WP4pq%E&xvw8RSsNFL&eL!w~zNQWw&sn|_+yz5% znepU+cQ-Om`rJ;fzLp#w>R!L3di7u^^W|Gs&mQ&KZ04DWveVU>#dY`0^{$0EaUv`E z%eq*Y&iU;@?Io-VZnjhOU?;nxx}2OF?)gG{9|rX(ra2Vr8zg-F-FN~kN$tbcX2D-O zi|%5CizVG#vM?duzgSf}H>Wq{TT3nuuW71Uy5S~Ok5}ezCo+4E7op$o@5|&`r zS__f9f$qVa_lN-c{zA(2X5EEsw^+&^bx2{c*wsi%j81wWCYWiB86jKRZZK)nhsnNg z)Onhx>ZsV1To~lQjCyVOy#D~MH6Yg)b_OqODF7`r=Vf5~;>-5M?9>T(7-o}kFuBx1 z3|=F`zJzIOT(jWeuuCx=oj7%gj-ATVa!a7w{q(e?Vum;A1~X<_*0OcLMc6XE@s~Gw zysi%Gk)dHU(1GL*U>m~*4}%K2>Zf+Xg#|&twCSa5N1gy{8paF2h2X-|`mwx>iJyRg zU%oOL7%amq542ujrt%7k3OTnaTR~IJKo)b*w?LLB84eL3j9`e;KljDNpOLa-c=*O$ zU`*po0iXkK3lGO-0`PGXaC)$pdseC8LO?*k#KoneabKMfFnFK}@nT-@1Vc@r^qAhy z?C6}zXYqhe9I{?$cTd;*T4A&1g*p)Ug>nxBOBu#~ia@apk=*9bpL1bkA7GcD<>n;D z;Nt8|%GwY2Da;2G4Q5Llp+?GcajL(Q;0&NJeENqkMzf`pFg}<2M*=<~F7BpvJ=E+# zfF@-vZ!~m3O$U*p4EUB>VO?lLDiePK8$fHrAH+Doap%*iV$tF^N?#9EhE|3GbNO!o z+N~|*crA8k6!1Q6?Ih-UOWz||OHvW!EHg1HvON9~3qzQ`Y>rlL&j@B@@XkJa5x%dJ z73pXjSA26P_wO(TAw_QK6%?#cVAuhKm^!3jnjP{5j20fg8Fh4On)9$q@Tgn1Oz_x` zjjwxBB(H(K$G)>t*Cbc0fqUgjTt-F-yT}kPen?12p@6bDvKK>pb?RJ%;#jxd&8|(E zTR{d+V5ZlvB9xAX0m8#~9@X4lIKkY)`^jLIyUzI=_UY!ke2Cgh>(WzyDnMv_9+kvx@q3#qu6j zw#E0+=wa`RLkg4+MS5|T?|GXAa=G|i2S)ED-zkFLP+6g z@(KXCL^AB}0gs$FsHv!~CBI6v!MwVMRfyw@Q}4AKPDrR%D~P?2G+$Pz>FKpQ1jNPf zaP@SZHNmi4uU7`~oi*9U!-Ep!=kO}pWLmpHoFoim)X6E5V0n^^Cz-Y$W$a*RnEBD1 zG`T^$Eio;PD=kuRhtoC^^9kXkg9wrDdi9KGhNVJ}tc&cXQTWtqutr zV+F~l*=U`*&nD*PvTiw=;XFed{7J<$35S1>U$b|H36^^EwQ|`<2$Thss|=ibN7?z6 z4F5Z%*Cs8$3gk^2gxhDU{lS|tD7F8NTK*NnWsvLW*87zm3DVdN>}ju zpx9Oi;Gkt++)QP{#l$8G#}<>_4Pv_23lEPdWI(2kpz-G6x(|8Ld) z_C@pAsC{2o*g?>R|HtPyy1jt2Atq$rEh4RCWE9&v{eDbCYGT++@^q{6@~wt@9{(_Q zQ~*>Y6W7?7J6Jf2Fv4PBu+zBWE~3sCx&5}AsPvq2{{9UNRCc`<(ULJ{jfEA{yh8;% zR#l!n1e@WlH>F90O^1#;sKrvp?u?goM2J%4t+jn%bAS&`t7*A0=m(e=fkNK4dU?b- z?h-`WW8g%f`C!;~#l@DOBm70?3{~W-%~O8`x}VAnS~HU^$X zw}~Z@p2|-jkY2?OL&C5Y$O=$1$^k_Uh#j(Rpw|^bqt_-i+fYxB3I>MYvkwhRAx<2aj^c#mbr>!;Qo$!FE91Rj4i;PB{!?#B+=z6P zA%wz`FjLu7zW!J46GuWO^D87{EcE$ef+x)XL5dr=1t`oRU&_SY)Nj!%uM?U?vg-Kr%0W;OM9(DFsDjfFPIz zY6pb5j$=8R-1Y-8r*0B>J%~V#t=t3FXv~`+iH(x-K0Je!4Y~5bNs%puy-u(7k>=

9ppfPG~>n`#h`lc;9wG&Ez8tb5H5rB5Ue0TFOHa2Mo_r>E4l6O?PY^e5=io) zWXP#Oqn2566~$!qk~)ENgNeZcBo62!k$(QXlpRpDzX%BPmRCf=um!lWub@;`Q;TB1 z*>S{C6;4`8pj77W=9W`iO976`z-Y}`7)m6KNrvhgh9K6Ue=;+#LW0{(no{sp>8VNWn5yTJDFXZ|F8CL^Xx?K_1bv zvy(-uQu8~wKHTahK42!jIcp(`QSt0^_j5I%tN?|Y%5uDmVEv<9*{1o~S$tsZeEfI^ z^9_95qE({p8ClC34SC>m7hOeRFO8a>ej3NXt&*hD=xBtk+bCmU%?!E$ecjDgVy5WlNd#V{v4p>dc`{z&XR`BD z#;1HKu^Ek>!FoMmoli>ABVFy)z>WzHfCGSV#N8O!M$EUrncvkA$;opG0S#sO zvjOV)%?N;AKI)cVa{1BEdZb8uxO z8}Cr!%2F&469I!wsh?^vbP%5W;xw{3%P3(4HR&Ocu02g9fGAn4U4mxKgYp&1yeGzn zmJ|omgBfDwAS=&&i2!L!Zj59Eun%uis2OM4Twb)9sdea1;@0=TY~)~Xpx`+~+|E0W zM836WngH5&Ik?=+`@-VZ`Qb^ihi~*!&b5A*3A`gA``Ius*9Z`D14YczhyugP91Zw{ z0y)hsJQfA`^zr_BImpZDVXb1-E_vE%!?S5Cn973Xj{7$D;*GjwE9lKUksz4ySdLTh z5OhTHE4{Qtf>BR9*dlqBsizCyB$C*>vE>a8?qJ~XHN7okiEa4;oJ*X3-nf{I2h#rc zS6Ar2`<5+ig$}LeranFesq04q>`R!#>7ort_dD0dPCFAYW*MMh8K7DH?@3||d;q82 z33SKv>j3+9Lnea9Kjr}80UGe3rD0}+97o0gL4mP9NC$tS_~>y<4CadwEHz}80zVb- ziYHj0E(yDo0C)vZRIc%0eMf=s5H7~eL>$Dz`qaQL;Z6+&D>=-^xVpLhK_%VM3jj&a z4hmHs%l1-DG;s7;r3rXm3HzUqN*jU#F3sWp;p;7+st%j(Q7l44DFsQTJETECX(^=@ zX{EbUKtMXA1q7r7K|&fS>F$#52I0`no#T7od;PxuTK~1srEoasSI;~%d-mQ_bDw9@ z4zH(n5m|eO>iHw978`oh~{-Bo(-x zU#qp}%%>reTn4ccX{ZFh-ZTBG#*GhPT=8>%?mn40evgaC$dxpH<(^!cRZW#*CAb12 z4#q8a?L;X!Z_+;etOP3NHb@i@OYzY2L=GD$ z`zRMGF&kQx+D8XQoPSryxd0B4J}CQiE+eWA z-XvBRe9W=EwYNWrysUK>gtvB@_W(`_nezfpxCD4RDen@DpmGvr023Ff57=^DT=9J? zf(eaSjcD{Ah^-ZKXjW8&mA)CN*nPR9z2y^Gh4Rr@zV6FF2>HA6a|Yw^j)VoQpwXZ< zt<9B>W6z-N>RF6W>2BSkSG9kXh~k;g{3$Rmoc-}d^K#Zni}2i(@Wl-nu|}9ic2X!5 zE?}0UQBm+oY35Ks+~buhrh$=k{RqQTDzy>;0)wLP*676O>`zc*hc~QS@ow*Qum-j7 z!%pA^QG(ZD<5R0~2ECft9Nsxo%a{-fTtnewuwZnW-btYXlNFuy+eI zBDhL$W^S>xwFZul%KGm_Qa-lkU0k?V!6ZBi#4^IE0OD|;yiD!%^QI=YV51=`V3BKi zoZE*>*;Hsafo7v`a+2BPbv&*}`svuv&E~kq#|HW=I+&4jq!dfsKG(+jX|sX zVqzZgB6@{t|KYcO<#eAbSOnkhJd5V1+P@!P80TJ_JThGTRE}CBUC0g?J!kv*9(PMN z!6;G7{a9ZiIfc1o8Q^vEYL82IH!!0~okrq*vD)sh_8#}!g#R&p^Cpe%WrLt2c$VH1 z3@g?@m(oDmk%2*$c)p{f<9xK57EVbn3Crxe$(nZp4jaFp-ZH7(X=kKxHLj2vG5=j~ zrP5&&*Se&vY`$bfONMR)87U2W$mZ%3gfEYKQb*=zPmbop#Gh%+h`cxr;hDmoY;h_V zI$5Dq0JjY6K`rnh6WMeDm8RH#UIJk%p_8x;9&Uj!{k6byTF7mujTU3kWwT_&>6uoymc^`GDnR*l zVA6(c9cyU2A#RjfOiGiuMj{E6!72YV4tBiDMTJ-(hc=VV4H~@?ze_hzAUpy%rf`$^+jHXCKvy7 z1<=I#)L!i8Q@BE3+MnoygAn5MJaxJl*xRW{c?;5qNBo^cs05D9=2SH?*uUUfLt?D6 zzc!S5N(h9&AYg=I^6l1sGzHhW)DKy|K78QGuRe&^(@uKp!5Pv&F(ErhS8U!|Xm-Tf z_W~HxdAzcT{C6RG(b3UKt!YE0ktI7a{%CZs}LwGT!QU!^k-swn(?`oU!w3&OmkLutW8#T z&0*~pVYh4WKT?4$G>54>Zq~vdO?2{)xx1cm=}J5!8|F@I#=1ms(_HSFoNZU%a7fm!}R_=BU!Z|L0VDEwV)jECT1 zoE@ujwjKN;MTf80DgN`Xzm7NBtp=nMjB;(9uMDB=JrP0@0LAt+>pera$@;HXL*oD? zK59}LboF;{l%#Kf399lH?uP_r0-QvUUO_+?OIFZRD;6TX{}vCm>Rxud_D1Bv9lBySxLsK2m{*6dD^Ajru>EYDEcWW6O^+1tt(E&fpbL07Jt1wnvi+ z3_P^@^zx)&nTQlS`46+qS|a^aCc~tVVoFY5dh-S-xr>X%gijWJy}y=96|I;DK6sz> zcfm4JMwWzrmLG(CEAC=?BYB#sIu(j}ptcOejSBw_v5Y-H8qW_~%Mt0wbFEQ*%`h?j5cZ4oJ#|W$d#*w}`q_3py zMIT^)%vFGp@R2Q#RKTIpO-suC4l}{Cw8nnGQEnOw!Rj|vzGNgK2+>TR#*=|M>IW#o z`rQ1Ch{Wmtx*;JiYHAojFO56-?}W%9(1x>x;0A%@Ll07Pi~%~upHItMX~-s@1kzeT z1BN1`p6%A%*n%FJRn*E!5aQw9LVdG_n$ShV-yFf&-FJWmbZFwJC*Lf-^wHHfiArz6 z&c@CqEh*_|gupH#U)xPN-ZMVBao)FT^+k=M`QeQcyP`nG+mH(qL|ZDPQ1}j3rA7eC z@~B%|$cbc1Sn6_xcd(oDPlozw zAFr#7hmw}EPn@>YQk}Ves5D?UpxpZ@6Z%Qj@cOT-y}$Z(F|_m3-HQAfhtR8OBGw~L zGkk)m^z?4fy~o5r(`|b(cDK)_0vvk5s^3}P)Tj}A^-#*%-qA5gnyWvLA+}>^YAO`^ zm5k@HOf3DU;L8y3G(wZ}P!rj1(ls-awWE1UC3OW%Sm|DA$sf4_unbRl{sil@Pmwi} z**;kx;lfJCWa9p@SpNI}0<5&e`*$(=<$=LV_ew;$m5ZNW`Z4i~>@Builx;v=1&F)N zMh`-1ISAB2Fib7>EN3f2)uODVBw&1|xA4DQ0BN26J*@sUSNf;ZQ&TJq@4uy6!dz&r zoeDFk#;crnS)5y$fRbP#EGI|CrpnmVbUr#GeG%84)zKkWS@`oK{hM2dH(T`L z-K9G2zG8^bB`jcKtQb#fr2bG^$_)rZ`x0(x${=BnwRntWdfaoVccLbL=JEoVPURcG z;Ae%OC=Yz!wVsKqYlG_!a15)fNkM!Vu_zkuU@A4q`X_k2Rq;EghQ?+18(82-!{U8s z>Rn+hwFlTwpvDC2mAB*K^JVDEo3Q?1A}{si9s9~jFoT?lX3EG7q!`gACMNdoGKid9 zjy~D}XLvgU+d{V~ASs4RJiAB0V=38v$oWp|8L^G6@j_KrF}d`fDU9i?uf-tYs+MltPU5(ZHS zg_$Ue1cm+xYBGz{qK16UU-=zNebj_dgkGov5P-073 zW9-((M${k{+`CVl#t~+DM%$<7+vStiB{=}kv;SEEWgV->u?)Z%SCD3L-|pP2joEIa zP2Q%d14IGL7~Cd2B(>u2U%L0;auz4G_(pE7lnUe(##BA}3qx+jVlnmOc!eXbZF^(6 z%o^~|j=cI9pM)0T^`m?FUViZ5jr+1EO(sUHC%0+5$y}38i6<_1_y-sDXJ?%whhAHU zP=Xtd-96l{V@DMgm8xBjzXm{pf|^mXX?&M?KYzv(6g*7am242Vz0d>=H>-kFLo{@N zAf=x&bQ$}%8hD`2SFD@_dp73@#OY$emoy=NaYhPKpLC#^*cL>KpzW-pzFRDSgRLSNbO>Gy>bO>pZR7` z5jP7=NBVZ?{n+jfLVIF=-~^zcWuF8aVU#RM`q!@v{a66uRrU0(pyCpQSiDG8fL=7d zDSm%NprUP(#^kR;Ch$CVt__gj`B-jbFS?*1Ki|RFN0*L>_hl3icx~sKGx#_!N+yfg z=!~o1Dc2{cSsP4iG5Y8fB|F53^P`RMi9EWDI2m>pKWO}5P-x5{mX?-w>S5`6HB6>M56KuLK7b%9(-|Ax8}Q9W!sn}nXZQSAJ(u3&xS96dBJn_2 zb6%_{oSlU>y?m*1>-=uAXKi6*mF}`K^ZZ$cmqePkyru8drz?@vXmO(|Y;nw1q4tdN z8=Kye{`sf(QH6Z#n3b{%cDK}0*eLH_Jh&!oyC0TGQ+@kRPeL%%Z$!J6>OZQQ@M3Ov z+%>jb_@h0R*Z_9SL?OP1JmAv&3@1K1y6NLs{T+TRS_0 zkMHY}E4PC_)k$DhsK`Rr#Vd=Lb~pg{jll2& z(*ycB+=B+t6}IW{ed}R#t|@1KYLT3|8dT5yJv%!>BUofkQBMtU+P5#D>}7d)UXYh3 z|45gmIOvqD!ZvWQNPLaBSVN>T9q9=ayL_!btg>(H1%}#!{8c{ltj6gt#-a~nNpe{Y zwdis4wuaXDjQ}a_MGGXzv_%G&Am)wn#ADyjEL* z#q*r57Wegbw$zR$TbA1ymfQ!Y6C?!0K_En!bEDlY@NaY(>T{V*S*j zvG7^6HDbk1olvQBwzgHLI%2_;%IBHBpq)ZRlqVwwUI6&BGA;!UqLDd0Sy*6TUbXKL zJZ#ipzwEs<+^U+Nr`tNa9Gxm&E6k=oq&T#=N?7m^TUOT3=eiW_!%f~$8P7AD37qnY z48JEf#<2@9Gv<1Pj!PCQae;<@hfU<$if?%iYU7SehkkMUF|Rf|H%v03g|F&cTMc@+kYCqDsh;4*I8w1t{3)X1XM*f{ax;l#&^L5kaD5Rd*vkl+T%* zT77*a|9QZ}5?POLBkR_a_9Gd!VWXSB%dOLBau*KXa1w##Cof6)Mq!8InuJV6rvXP^ z)lPfyDFFe&{P~tgExhU-w5_8+1OOTet~#@$L+!91w{QqC2i9_ zpu;zS-~DHYOBnqS^({X-hS9ke^7^RN?YTI9dz1FT5%u)~P{!-?S2=;4IutCPjItXR zxP{-H?D-fMN5+Am8)mjnm>~JnkN;* zYBeMF>*FsO_Su_3EI;WM%jYZ3b~=>YW8rWsu%I(ajgclCSpiUp64{Z0dfo!>USS6d zai7)4pn#I*DqMQIFrD|j&%pDD4;GNlkr&02QOqba56B`nHlFapUmGGk<>jI_niSd`8KwGO{tsG_<$Ljn(7UwhMFmyOI5I`dui;^M{> z*t?M~s$=J-rlv|Z__+vm2zX|ptT6aKs&FG~>?g(t5p>k6KWe3TZ#~7wn~J=MI+5@_ z1z8iJ0gjdG7g$*RzqCN)}t7isdBogkjYCC6E@(ZWNn`&Ka}4 z?$D2*xOPi|(ifF~_VA!Vr|?>@Aqo4uJ*fnhorCoLG->bCOrDu0)+tZn(&E|Ouo{2S zYVVc98{3h0YRPlrO{}H|UR$}fzAmumU%{NoeC;sXlD`#ve*P!%-BD(c>fG?!RKm?gxvRzB3x=gM35JEiUgqB@0N&AX&~RDJps#h6{yG*t0FG}>@PNWxjnM1NkTHh6+jv9&zUBdXU=g8648_|KURzq*H!=Fs>9F0%8N}wE{bJ z$W*gndISvJz>S~|YVu-ro5iFz@KIz|z*i~;GrmZKEy8put1m)AJXkV!fr^dfHsha8 zr5yW<A~~l!N*5kX3+9Rj)92k zyEAL`(z?aX1`QPG93X|fP4@WHwmbB2z_mLGzd<5I)usZ>wNYS#N@1ygsCx^$0MXoHNxvRd1KV%vg*VP%nIo0Wijgyu3z|FEC9{`*dtX zQNr8Mwg!CJ0{{H^6UDU&(aj1riuA`1zzG>Y!LJRqvrxaIcW5a3QOGXnw*LMwcn#bo z;!9M&zkCk^0y1UKxgGy#oUPYhmBI81aGatL<4F#a{r{Hq$tzbyvje)>~@7i zkh#djHCuzz~2P$J`3%BJqR75=@%a=IqU{z@$KYhQss<&+uS-gRG6Lkr$A11B4r zczWPBOut^4uTg=kebOJRctU@bfqych1T_1h0BEMmMqbn$R^KU`c6SYQPA@@b9Dpe` zK-X;+LB-NnY%$3{;V^DaU*WIH43;|?GOI6vzG-r zSN=jE`$h7Z4JvAyA7C2%L+ThcBERf5;=I}m7Y*md==fdVx6GLX0k8$6Wn@sNOuuMX z0CeV4YVUB#AHfBoxt^$m8IKatDM_MD`>bV_vXve%elFm_m z^M(>U{6L_RU=;?O+yC6b8pX&oD${3_Es-T4)cpfHc@)mr=mHklL|sSOn64Fmnv z9T_eaCFH^+LKRh2ee>P-a{yTDj2ni*wX?g&y;D<31(vWt|1JQ(qQWi(D6GK{<@5GM z6ur{lXHGUFkJIv_yB~1258Rdo496wo{rvdn+@s_eaPCNaWz@8c zMf*Id5t>%GQ_1}H41X60iMU)RW2QY^tSAzFxTNLK15+Z?QOdp>BF460Q@4%)WqjcI zvmg3ruKn7;*4VrGwR1SYm?DQ($U}bPa{YYAH0G?qp%iZ|-fvnj?2;-Snsq=3o_AYD zwn>rxOE`x=z<|fdsC7KP8XAm1<5z1oCb9{6fKLA$0hQe2&qK4Q zMf?&O^XeU0G(&e2zEatR{@y%@>dpY?6tnSC z2z97uUzV7tNZU}@JJEB|W1)I)7@FlZX+OWt*^)@q^&Y|;xSWgZ+I4L~wC+-iO+ zjkKLUTQ_4Ef}xp(97J|@c1UU!jL{kRDu?VgC>_8!EO1r(0iz;7TmMP55F?r~*Bj(` z00Kip^An%#*A4HAR5}LrQ-&HPl|QpnFyQ%R%MNl&gr$q$AcO4_yq;>%k1LLIdbrgB zt^J4SBC?EpXwk#sD(V182`)N`D>TCQe?Y^@Kh+4LHah**q@XkX|+LLq+C9c{HG%=aj$!7nhYmwfCmZ z>jn&5>XydjePh?wWd{|W7g#ER@$VbZr2Q~Hju43A$gPY2cAGLB9X5NUlNw=&1d~?P zO9zIRTMVIdLet@NEcx&_>F9e2a_7Syad-3MTTvxTQ$s zlZd=o!_{5-p@sJAs-K145djely7?g+D-K*gs``wgLK=Wdi+q0|;3Mf+(9RD}l;rUj={FVaB*f_w+F&`+yY5z zNgQz;WWy7LwKyRX?5+o9Os`92xo@jM#Fd!c%_jb=q^$AX=zx`|nu;-ss2Z7$6u{wI zJDy#hPhTePa1I%9Bn40TD$-7!uQC62*t?!&x&CYSl;0}L68p{L3^$^i(+eTdJ_cJp zPjmZnM%E#tV(T41O-LhhnQu+2q%G>JEc}6b`ELKl%NMT$$g9V^1cvh)Lu!v0wT#vq zWwd|dcuc##jPAqy%%$_baWxK)|M@hrX3o$;SBWZrnq#_;)H9vc!&4Pz;*TLQ@u4H( zxT&&Pn@%_S6Nu-Z$(XmSzcl&Au3ci(M5}F5JZR_JoY%ukDIRj1{nZo)nI(;tko|hn9RnLA|+YQ{uIQJ3xcp~H#H+eWAzpq{M0GB zc%d_^4+wxPC5b7D@}G?bw=_&(FP7VUA=kqAamwmNzvY*&9Gt|t0qQKw>Z`KE)aoW= zzgr#MG|`>CV~+Tf)sH(BZayS$=Z;bHj(M4+KH9X?#@BANj0N8>HVHX=IR)L*zH&5g zxbW(`zDIrC(jilBOLWJOAEyQ1!}Cbe5Rp>~(@qxTn zd-jrE!4~!&Dy>=QBl~B`eV%@nRoCy-4ttTdbj$Y{K3YMv9WtYe;Rrjw*&zSUXK8RP z-jqRNf)+bqhG$$|sr|6pbY%Xk$}VDWs^O^7GFe>m5}TVj=|j)wGW@QRXrsK`U!wV? zSwb|1l^h1YX!6q%s4iD1=RM}!MQAC; z7rO~1uOTOG4AJDLXK=HRq*5ocCUkwx1_JAo{gYP+b5Xg9!ahY)H)wecB@#u@XMWY_ zFHTV}9*mL6iFr%+EmyR5I^TuV<|#Hbo1=Q_g_lw@f4kk17Bl>bhvc?s=D@e%e%xJ%Z$P(H@lCQj zUzU+Eo%*6GW6G4zCD|9xlhvhA+&rR4y2L1{K-^)PE4oUSPb1k!v@3lmQldUDDA|{q zK^-#^pJvoWeDf(|!jZbUP`bEG$JWQ;s)xzy>b6mCM$bCvg3%%gzVylL_{7j|(Ck{% zKSi5SDt#Y(iY2!1M4=on^dzlKfO|R2U@M)-9t7U7C1PMufInUc(n*e}Rj$Fl&Y3oY>ChpQBiX;|!$SQKPwp z+cy-0r^X^EWSMQ}vM-M@wfLMICPSW|AMiacUv+bHYI=NT)?smGf0#0;)2;d$QBL)S z%e~Qjr&J>xlliG-E8#DRGzD?eXvIhLCZ9_mVxza_nMTUX$n509DMt1p-dChY)6-y! zE7*#VB`Y(KI)5434$K#gY)j9&UGf-%G^}S?i9|_1jhZ_8cu=wb6-zE{Y`%^0eMJ1{ zUks~95x65}#pyQsYgtiw;w2c)XUm{fxf?B`9{D^cW-ukc_;wM!T9xY8+k)SAwM`{O zIf=SlN!6L|iK~ysFecm6#Z|}g?@?V7&wWad6Ck#ung`_{q(S0~5&V}$%&|>+Z7*4v zDX}8o+e&c?54 z-@JLr7bC{3gkZ9&`X~&d?Ia#F(of(qYE!Vm>0o4EwpH*uZdFMCq!uX3k(jU1v=g_+ zriLhq2>*Q?x@fDC*B6?<8<)1gVcBa_I}FC&jiTx$=_KN9*KUu7=0)o{XYfgL|2Q*x zk`}x00SFj^n`{?9>R0XB^9YoroLuA$CJcLZ&^9t|sMiM=?@0Gi zWLYIP?dwsevb(GNUWx}VVs%y^*@c zDH!AmX%9^NT`y>l0qX%P-dmAv_%fg7ODMvnzH@NIs!OD?-x~}{MTa#UB4L|NJp{85 zrKBEQf7&WjVUtU3|I(*H7e+R}9QS{&N5#yJ#&bJ^RA|&rB;-R(1lqkpc}G4)y<`k7 z(-->Eo>-`&-@970I}`;v#m}E1gAB%D){*I}pMHzk8vU(g;B~K%Y`FPF>f468C%-U)XdhFRhNKy{sSOp0#Y8-vph_7*V&3w2h1yHSfI1pdQkt!*74ZM1J#w-VX`4gfLb$mbntQCFh7^ zIs!jw8fTW>6g%~b@1pdQ_vvf87mou+q`RXtT=nycBj!yjcsbRaMQupi7ey;r)Z8HD z;%gWCBN;u%RY5`>%cn178>JzNd>R3_{|q(OcYl)YPL3WONQ~o$Ss4n(k9@eDsf`YB zBP-DLql<7tj@UK?KS=$)7TJeVU5AqHyf$d?Xs&cOcCIQ8>j<$f@B^6>6uVQ)SNP#c z)GZNZ4h65rbk%j`5a=8auKhlpb~<2oI~70XY6t-&`Xwh`S^n$5A-NK#c`F`^i~Rv7 zi_1QRIE61o#(XGrk6c^!Nncn#8TSgpVPmWuF+9aDj9G9?HGW1pxD%bVP;}e7PG_o} z`xRb~$Y2CN`tEzXcZ+GHOG=E>_Mf_BF-$r#^iL$27ux+vESDLR7vrcPNLP4cF^KV;uer^tI% z%!OJ0%LU+?@s&q2Q|H7K{)x~#b6MANV+>i%{`PLolWSnjs$=a&xy!1VPukUs!G2$l zlU?D0Qzy%@p6K69<@#M$Cmg15Wv#~$%P|fU$4896ZBre^1dHlCoAy)rGX>#72bE{$bp4u zI=iBgZ$YWw-r9B2M^2$jwv8r#2iIu={fiD=!6jwRCapUM`xFmu)tU7+=y4idXnF8@ z`9DaV(0SkW8yy8*Lfps40!50=IZ}8Tn7QBG>WuIc4#}RHTjMOL#?GJb##@=R294ad z7j0#Gx6*f!@_Vq8pvyRF(y%9B3O{YcsJFq4O=tS@w9y}i{RsMTka?uURdYIH{P%vq zahpJLfPSA=)*@2PYk-&FUhSB(iJo>e#kMwURmj%Gyr#w@OCpO`MJUhRmKf~rxNMI* z9yEQmn6lpBly`N*NUmP>xXgRTL2?%bg~0t%;Yj40sq(5F|JiC}g5IfqDlDNB#>@t6 zjF8h4G8P8WMxCV(;{V_CAcC%NJwz*l07l%&RRJgrQaShnJ@b!RyZjId?w+=5a#K!5 z2N4JfUIrc8bsRGbK`+QE+};kkxxH24_%l&(6JhP^%fp`MFZDsPXiVD4`)!Fo&QfeB#?bxIqf ztGn?*gmrt{L)Mz}If0{tpsnEY=`rIHbcwXzLz4;C@ykwCb=WMH6=}bQ9M1PJMF-F;WYG;`sm_NoqMg zCnO^aJzocn?&HUwx05D>`rW~CAkBi4=)=f5e08lI0d)rf4Lfq0ful7$auSlx@*dB+ z+rL}hOtNC(#+`SM>i(TP#c{T+=)sGSv zM#d6iwQwmagA-{~t21r>0bch?5I#IWzh43a?m>3}WqlpqCp@E_?Dqz&_Ziou1A9+O z!ruI;y(GyL6dHX;_S;UVUg65+*-;1mcqo<&Z~$gYL|e8)49oN;zoWXjZHi2IG&z@F z2JUW;rC6AqwBhjyE{X6szqvxxrS<>ENf9YjqrHfF^C}5SXjH;zl<&s^pp-_cYi0nY z_}*LfG*S(d)3xoT4p$-u9@E#N+4{&b%@98=<2o5M{vI<9BHh8TvtOOT?TQoj2nLPe z$E27hjBu9oO{KRV58FI(@JML$9~v;xlA2*=w-#goKQ+jC^#b{4c%l%y9J zPfSw`Q!fb)$6nfU#c?I@@C*t6CzwZE4bgh^&6<}4L|BYcX=>N4S~Zfag~PfZ=Y_`8 z=^E~FaG7S`#VR?xb7qR zV=Wr1;%$7=M8;01;r=pRlrCG-4@$^~Gm0r7Fal zX@oGDYbI$DU()xkDL0dU-F6f1rlwH_?^6dZB67a>5tFJ0^Tx;rdtJ%@s0P(uxx}&;E2{uKU<`8x=U5 z=jGH~GX-_YwIlD;&}a{%nhBNs6Mh~>5)f7Ud{pqI*)n1y>zX>Bq{Y_Yy>>51zwsP; z!rOyN&u0Nd`_Qut6JY$nm#h8*rZx21Q?s*877Ewx2+=dN@wjnAC@4Npy6>(TR5@f3 z<2?PR`mS;uA!j5yW+M*zI9JFZq2lP z=JACVvANV3A+v;2jAz42Tfmjq+G@AK(NjIQx05dPOYHw#M|j92<;5Phbp_?_Scs_C z?bl~-O5|DPruyDi#Z_0Pi35mg9HIrH`YrdvN+Wag4+E<{@|oU4CO1$Z>qcTrz}XkE zx96%k8Om=irGCRkxc$=9&n*b=eR9>0^-gyeQlY}^`%S8t?uXs(*C}z_*4Hpctp+vf zD7I6yFR&!4FRB^U#gwsA2Ckq^#vNW)h|;uld}>Z@pb{N=be@vsX{a7XRpqGHis`N8 z*P~q^>yW-3H; zSlgo_R#^L)8*180-Zs2e*Q*1IuL_Y@iHe#&5K6BJowu#%MPlh1hCqlh>RrvNjkLrIeSybReItRwxJizh+Ix z$q)G+=j-<+55K!q5k#YYq*TXXx;Ys z*fng_$aBc(mnW;|eeFx*`qFo_r--iQvRN5|JkKsF@~==G^$4C^LHnC30ut@O0qd3_tCN2?d5&Y#BHMZRwYs!qagy+qbqXLf~2ScOp8Z=3Oyr)ok8^#WU z;Ap$c(w$?oRcrKT?-k)H_iHS1JXnY3?sy(!Q@;7y7eWxNOvJ8Vu_P6&Lye>rIvzJv znlfczZ8q%D4opzvX}B9YWB7U@v+rVQcYGU8ZE??Ou1gKY>SY%jp~#OB7jOCl4_I6J zmu3Z{oos9KCBcR2pKId&30tYC$kjz68tKSHm~W6z7kIS#+~Xzy2dxVsmm0hz&B!Sq z6EMS>K?tqNp@7&?c%Q$jj-z?ZL#XU-!o4mpt^5Y1%G-rM7AnoxJWB@FwvRDmW_NCI zSY?fTxI8@M*VHa;@CthBP__G}MzCrW+;aS`9gr*ee5TOv^7{%$kJ|~}xx5w@X+7B4!Pv$@~Wqx-?A zFPTD%MnbdDu%$2TsUq5&ctUSxHmB93d+}m&F{hN5(I?4#y!O7NsdFGNWCAR6GCQm z;tC?n9dfQ}vSr!)hQ+YY_w9dX<=wn=TwioroME3hNif_Fxs$~6useUiMBdcbH%+1Z zTFU3OcZcL#b8+t^t;~AUjyPh^SJ=B;P^)jVy07{ck0+QiZ0}7`j@67C`C324Cp{68 zwWpP*|C7Xjy_|`Co-5&7)Mlas;ZmHg2KG}wmRB-pTZ0e%y}y1o`akzez7GalM-TY* z8N5t+LRZZ!ond4!1F2t;H$9MR*gYLVK z&afrSVkEIU$e{{q2@EDalIDJWbr#)$WWDY6eQ_Koi|M}N@pYL%WsgkG{?gs!r*?X5 zoBUN3Y+BU0X+2mobf^+4LH{iGN6wHO&kH zsaU40_>9;3l{917Fu;(I9Tw2K$9Jk@_%)_bC& zDVWR69c}dx&tHuF@`9DV;*U=( zh(-F0+6@77>4UU;?$BYc(%P&yai?ZP;r-4<_*PKF4~Dn`n{gX)mf}v9Pey#>W-cC9tiR@mQCu+{j-WiQJdJhQd^OlZ3_GlZWXEQNFr4bMslM`pbP9 zIej!SyW$ImgQ(=njl-*TF};&_daQ&ldBbgKqUmTUdfjTIDF?ASE$jzTkjl+sD?sS; z?&frelWg+IWKrSPp_-gG-<)JuKxyz|`?8dJuDU|n{oF2MCtJ#Yu0yK`5(Wb-8T!uD zpNb-`B%Be{$~`hmdz4lqftk<+LK;-RhAZ#|w-*L|rGQxgt>QIK*WCsuPUrU=11ug# z4dl(B{Klx9%v&o0>IaN6eBTt2fD@vcb_F~iF09@vw-fChzVU6l;#YDtbqYOk>Q-ja z6;$toWOeq2!5!}!l$y&OhsVz2Loogl(pVo4_s5RDrBCx4IE{+L;mKk!#vF}OYL_LyHEdY*}9O)4|k)X zh#PE`*L)2z*wD1XxSkfr!~0Jp_h*7qOv~wL)(;`0P@+5u|dCzb1O_%JFBnmyy|NB|1id{`s3% z>~Nm5f#kyP@kF(ttUH=g2uec zIl-)V$z)JF1^dSKa_VuMBMGgN{J;ijh67u-oYqXRu`3J?{cD5(TtqlSiHYgAk3dn4 zemLz>`;~scoQASfLbUxf*>&&6+4$lX@nm6qV!^$kr};dhmTV11HYnKa8+;hvb?9kr z*=wg)8#0^sCZ6q2bFD~>c)oTZf6m6_nozMDptj9QtU6mKw>+=S{k^_tY9ho+`B!F- zX7Gt3t^4tk#o6-ixS|kG*-sM7vt7CSmYSC}$8yM;;p?PUmI15WzcsACA}s1Et8w$P zSuac$srxF7apkDq@1xU+NK=2-6TOoy;wi)TYGVYM#x~4Bo%ukZ^$T)dQ^4P&{5XOo zDC=k0kb2gfyr0sMZ8-y1^3MkR*i;PUUIAsSROviTUA`#ema40Ld&!#Bu-@-?BwjDC zq}5#qNchRPC?P+o)v~WjxpmXy(ao?eqZS_hTZ3+R=#o&3PuEkz-uHeOTL`rTb2#|uTbFV3hxQ74V~9Qfzi@#9Bj{s*dw z%qXc?!80WdL@{@t8XYY8BaC*mxT;BjIbdA2zVCu$F_yz@K;*C=B+i92Go%XyQ_z;< z<>W9JgJrD@RzsI(H~u`K(|7&38eH-qkOD==MI7V1#4(ypV6MTfTWJ06El?4l zC65QfpX`^8yo9J$2JM?2@rE-80Gdz4?K`cnU`(8?XCgXlDE)7yrf%`S5+G0N=YN1p zbU0$)t9mm9g>2%%KY=Rvj?o^j$jN@r#fu|A>^uvi574lqQ9zpLn~@u*Zn z%m}k6C!F+7V$UH@ln;w2q#?ZZWUEb;ckLb}E{?KC&lgtDSslXK3VTYo6b@e_I@~7e zJLk`akGg!jukgU0U#C>2ndp$LLlyY^>|pfs8h@^Vo1F@Wkn05!R+vSQZX$QJ_Po`E zfNz?WFGgL{+49V*ub5-9JZ&|b4+;Gz5s1L~TZ*CjAAQbcop(Q15WK)=Aa`teUZh6+ zfm3t0Je%ifV+5K9*HTN$0I?2R{0qe?&y>1(D_&C-56QU6K#H9EYL9n#C*IG*t`{F;in&q+tw3@d-p!u-Dxm+q_?^E zlS3eY!hvi{`~k^*MCHvkcmr?jrmA5AXl?ux2JxS!#eTeM@P&4lD7qR|K!=q)7I~1Q zoX(i!3iuDvuQHV~po5$5xuk-kklYY{H-u~l`@@;O=Wf{U_tSRoZ2eK=lCxQQgDQW^ zc~@2P?rq{gCd1(@K}Q3@?!;HDmD9BDr%Xw|N0Sa*Z+0z2e;NHB;prwaJb`HokP{x| zg|-2!=KN$oez=uH<{pa%a*PPjE5X`>z-|1?XwI$pD!gk7_&B$d<19vvSj58z{OfAG z3q~1rZKFi-Z}@l#iKXfKi(L2mXtIDxso*v_;y}NKoO@|K`7om@4kPZ*{+)_%^((?|`T`e+ zxz#980-7Mghq{jDlC_BTcX~IX{b;tRo@9DgXQ3WYJiuS^>)Av_A-rYq#Gv^KbV@AE z`0sE>o;`P34-h(A2+_p*=JI0G4o0zwDq~Adl&$UQHqqo8hSDwy8dlmWw|`OTcp%2^ zI4$$LXz!*L?LQaiBR;G_#b0L)LV`IxuY*ycEn~fI$$bqahFi8SmPG@H!zF=1y5M^X zzvW`eXac(2RGZ(Z`t7uD=pvlgpEMR!a_A@fKhSCUk#s%naH?Z?&hWKE+SuwZaoYqM zpFUHbgl%AW)Nd}cikm;fdHf#xVrx_NY-VwjcQZUWY3xtWaWB0S~j-J!DJ-%K_byyzme|m`V=+2Bz5Z;wPk8|C{FEXC4-*UY>wO-LPtYa?+Z; z#4bn{U%iz`5MOoUeVvYvf``Lk72reinH_6F25f{614}uk-xSvy1zujDTvuo$pPIab zVx`_yz7C^!N?suvyB#`{6hmJRGtnKnoVR8hoSin?0u&_d9&EW^16b&#+BKXB-u~zInZ}WFeGx{6ek}V=*Yo5F( zn8I=ke)1GUs=+zTSpk zFDwOy!dldC&otlN-QF~15nFF_fBd6J6LBcrY+AKyvL*ZZVrpc;JHIWqu?2+10pEK&ic)g1?H9x>YE?w~m22W)4yH+KA!<-B=P%AP}S8v!CjLBTX-#KG}l z=Zk4GL7o|t7tWQszBBi@Lx2D-+)ud*5@e5#E(N`L!bn=m@++{XYb^vnt8!dezBbhz zG3LCfaGfD&!|Ib9dl~@>6U{Y~KvK5*d)pt1bI+$>qWd$eyU8lT|FnNq|A{5Oi*+AjV0a0TKM4@@aW zK+Zt*sT!;s>XCZEXDWAKbZyzB12MlqPhK^js*+Ul!BIiU{M(KF;n2w6@2b%5Xx3gq z!44KfB==Yn7yXPkLnbV#J_XoK9)g$LT719nCj2~Nd|nf{Z%f2Z5;&8a)`I!dP4k+C z+e)lQ)3t=**(8wFhYWA671TR|IgiS~KcfSx~p3tEA6(Xzo_++8`uB7OaR+~LZL~8b2 zuR5m!baqNf1aG^(a^BdVu%Yerop}6X5mVCoHy4R3GhtWcfe=IBFK8FwjfXv$`%v1A zq13#5;5d_UO|#r#lmrPgS!kEtBE2ShG|R|*bevF$Cvj|2XHb;ZttWCuH6r0nc6TuY z`^J?6c~`SBedaV5ysu`Zfd#{Z*ZiBOIO2loSh+>8kHjed4GRLuZVQa(sN<m5dY!WGdkyQ9+YOYQrd!^t8(f(q zVN+wxstGs}lcUDl`@Ti9YNcNv{qqrTcc6$07C;)!oNfCF%f4)I;G|<{}sSAH{z66Yn(?u^Q z=Fp{oH?}O;*dE>pl#e|h3yl`%MuORMWj*Z{wUDm5A-opnvnTMHknhn-i1tL{+V|n| z_2>9+4+r#WXcF3K(lAtus4eaYdZIkP`p=nDF+##^Ey;4L6Ok2dy2pQ>Zch0D8J?)A zYI(;Kw>uHPri>-0F`ThK{Fe(LZo!GArq{g3|6r9zN9WfEc~{|H>*nuW>Zab9at%YD z`d)r6)Smu-xOxkyD8K0M7Znsix#-)ESl zYS`e^z(`@tP#VIT{J$(3oC_l^M22w=7X&HY_atDG?p3fCF3UzN_hCSX@Q}~!zbb>J zxVB-jwf9^KPZU(T1NTLd`lb5c92I^9J z!+6)bNjrr3)w`DK6Xw+e@#6e<@%(HB#~x0)uPMp`#u@&<`=}@m!DK4y1vTS!uO!pX!c#BQW?3)(Ejb|@?i+vM;?b@(e@HmuOd?10QXns+ zLo4Ub(1v09|2!?6G4$lYNmae8?jg!3n4R~CwdDV}HT2+|G+wv*;zXitiRyMq`N2X} ze>YJ5k6@}{OcHu`7r!g}Wpir=y8U`C0|yo!{AiCxKhSGW-~NA|y45|XptB0=n128X zcnDLZqWXHNN`_mYKsrZ}lvTWCvi_6hja!5|Eh>JQrGtLbgx^*P?lQ&vEE-#1?!u1f zNRyb$?IYe9@~JsVB|Xl>ci>3og(pcs>jX8QHB3G*UqxmMLzv|98QQ z40az_ftw5o!Qbz}*{VA`J92kq?D0z#{Hdf0ypF3n-Z_PREBMOME81_B+$W!1F-9Ci zFkU=<`1yElUH0MQW|IHI)53-@Ew+-SvzO>|*MHY34{cS8h2t_lPh4Jfhq65?M}PNH z;VDbvya2{$N3Ndl!^S>$uVSvf8@X1Oto?`I!bXK<#q;6ZkQ6xkP{Q25 zDY~RNBRiF3bu9JCwW@@5MS|nTO|OgPxa_r}jYo6I=TG~=RSk{Dge;+jzBPNx#Q}{W ze;N74s=&eqNl`!p`qGez^<i%xo@fr=Bk7Ag{69TTJ}<9? z3_pUTA1i~;5!zn{>wsPfv1LHe0CW$dv){UA>T%7KdkFw~330-Re`|6W|`JW`iS0&o0T*?t;?vyFV@UJ!@Q2tt+ z43#M&w+Ew>btBsS`Kt1PpbJ;;?%e`vBPjg+f+UlOVVCMD$3deemY>=A?(3ePLfw~* zRX8S|+Cvp2XG%U4XL=4nx27m(3MoyvOwOb%hW0HuO?TsnzFn^-eeMA`Vs1OGs|n-r zy;RFp&J-_7`u*+?c@KvKSAzPAMbr}Kw}PlGIxWO77waSBz*@Z#?Pc6I|G@jyvR&Aog~X-(a7lc!2( zWO%Z~YhcrY$|!sl5{X114W$X8Tn17W@}p37%Erw-XpGjO2dGZ{m)&J(I3P zt*y$s5dW(Xo@N56nJ(uj(b;!?Z z*TPL@B92VG22pkNp<@=3bufJ(k~=&;54RnrC#W5uzeexN#_>6yfIuSgzVbwa)kDw~ z0RP>FOqP8Na940Q=#mW0J{>XLg&CfgpU+h49-El=kderzc{XF2?JhKOEOWp?bxjPC z$Dl|^#hx5DvF9TWd@cAf>Ixq|)if@5j9>Wn#+|g-WNL{`dlraP)*rf7E1=y;^gEK% zpeea$QQFPTP2HWe!BA2(DCxIr?f!L8EkZw@{hT*@;Y(s)gy?~ZM)ky(y@l>;lXO&t z$D2G=T`&i5N%vM{;U==4egkbnM@05U6T`&XlrAq$3%xR*Mki55&ugL+>+Wfyq-} zBVVqQ+uAC{ysO*)?OQw}S8?9E)>iZHZUriz;QL+Qt$n8AKaddH%B;HlaR0~mKaO$G zv6;da1u0NquWEJ=sVq}dQhqaufKDpc#-CIJUhmd0#OVk?@}Pzz#e`M&(^8$4g{6Eqn2{i5)=T)Qp4gpuekL=WeQy!XwmId$o%(dv?L`IM^*Dh5A18n*D@dK^ExP0b`VulYD z!`@5^BDdvTTq;mhY2__R89yBBa=$;-H_p*i^lC`V_~B^`0K{rqS(t4|kNe2vjNLS6 z^D^VM$C^p{@UC33_}IW}SI}QZUGb#2pb&ov>gU$Bw^Ib!Jj!51k~%+03qn1^C0DFEju0VXQ9`o?vZCCx^?T;)7Q%d z@I{DhH88`F+qNRs(b@cr4hcv9F0l+!Tke7slcBCbSh4lsP1EOfXde)HGogT#{1KUI zvNmOmZ8mr9eFq|(Ta2U!48%9>)Q_;0@cYNEuRzbBjzZLdXLX7HrvF`oQG000gf7gt zMALSFJLqe6epcU8JG!vzqkCfo`g?S$5fU#;pr5Cgtjrh+vgK5$-%z-i#DS5N5Nem@ z8cwLQuNyUE)s9Fp=CT_NGcE%TITR)785@@eVeplGZ@DPI=ixH8$CK!b*Z(mOwoT9c za`;sHM^43ZTHrOwi)OF&0f2ykk z{Q<4NKS~d4`~L&P@>uKg>FBVZISn1%c%pDovpPI2rx^g~<_bA~gKnTcK!U$3yv_V` ztrb?Ty*I*7Pq~iI|E2XOIeW*dPUOSq|Kl10tK!5}2AyR7v83juu{5y2F z#=|ZR#FN?HHl zygXpQKsPm$e8NvuUsO#{Y9%!s9yl*B^ zYhkIq|AViG{{{%PR3!^!CYiWH&ubJ+bdZuln0(~5{$$fSNB<~V(T;U!XUA2!q2#Ot zI#}l?YrUZ1@u9h%xpG6k7eSJDQ!jHk7%|}fSJ~A8;w^Fbdj2|olD(3SF^3=<^TI%Z zXUSbV3CS{8Q{H7g=76aB;QRpd#nS~0BS%ZG*poyj_jCcUqKy&ZkM4?~q4ZPO|r&kX=sMNtMHoXDRa>K{DQ)LW%Z4`c{?Zkc{A{3YCsF#~;ZEXP{nexC-!sg4{m%z}$`D`>9EcOCGIYplT6}ff&8k|!YC?zHOc{iAp)GqZy-|BaOY(stn zc2`fJ0~cET6{mW(ya6wz`zG1b(cKu@!8`gASS^Io`D_PokB*J?bytEr5LLGnlcLB( zJOWC4Z-rgx?CtHfkKQa~Io3iN^JwcwgwA_)h@DydnP~vBb~0DWFtcPn`||R_2(Ut- z@fU=TEC8GjHyRmhk))o@ITm6PfF7X$JDl9|2FWz3<+P+)2%c9DgFw(^<1-$p8}mrc zQ`EUR(B%fB5f%vrEiEGSoB^RBz1K)?mmO$$ZhYo~aDk+pdc$k*R?w!T$s+%D0T8uu z$q~I`3Q8%$&_P^VUvCKx8e9lKE~J(H6AG;i#J+AS8pC9a{TTwOpSLi~LEaj{V{3*6 zss8xDQ;+C`(o>!37Xr+U)3@c+OY7>$Ku?j3j12u5{l2?C;-EMcHPM;uo@fQl`DhL@ zNW8$JTQFaipWT3p2TVGEODMxlM<|GxnfJ}82yIZ9;PLDqPDDx%9Eyk}I z6N3?gW|TY?h=QfPRx~QDiNO@XV^=VswXmO4W%eW$y~%ys5y(9{`>!{@B0}}QHHgNO zjoT@-?Vap)WV7oimkd+xb1RpIpHY|1r}v*3wFJWYg0WJjUyTn7kU$CiFC8K=1x zS`EoltKe}^9dP?9IPrLWxPU#|ATPWnKYhO=3-d=xm;)snWVO`N z4d6F3rJg2%L!UkWA*oAoz(J3NIy6E30UC-AFs!USU*^o^;n7#S*pSo7^1bfTv@73! z4%vs3H3g}tVx9Me0cJ|J4S>lo&ShJ02|A|%Vs=^Rv5K;?>j2jWrnmURt4U9lHl$cK zqz*@Qe1K*`5>z@c;09E3*0=bfErLj47)orqA5U4!foTeu3Ngi*Z4J?;1aPbSdTU zLN_NLxO&-Y<2g{xtWv*uBKhQgWF{sOgW)9x!%fV7s&Wi2#1HQeJ4mOLAWz;_XcnhBJLtQILSZSLrc++JZa0~Uf= zz^)vCU9%4T0s|$WgXN2uF!UpXx1?z)c^lsYnFBxyU?O+?y3^Q-&ZWXIFcRYRU%#o8 z9MNH+9t>bYOQ3I1Qc+nnO9BjH=Gj~z%9d<|TgEU)^Hm3E0-*s1U@?&uXl^zdJiD6Z zZH#?Y{^CtjPjpjpdc%*J~Fd6+hJ{Ltm{Sp*s zT7q1|>2%|EOElD~Exf+}=P|P!u$zJHiN#zAzpzq?2?~b6fz>vrO@QwDcrdJjPQ6u0 zrc4p&U=M5`dl)V*E~23h4uwnT&l%uuyal-t+<{fAO=2Wsb-w}_(L2K`JXJV9&;^RRBVfQv(z4LiR}E8G{tXGc` zl$KB+%q@}TasfH&#_>R-HH^JmC1sE{EAOAXFpzW>q)^ykJM)X1GYG)|OU#<-8 zmR)yJ91)N_`E?DfSkU92i|Ff?IGzbvS+N;>Mn)~XQw4g|vCaO+)rROxgLeXV{raFN zVSE45zgjK!Lj0ZS< z|4ojR&Q81lK4q9VF3b!TXMja94ivfit z8eu?X@|dNM?y3a20yaNVL?h#yS9i$ev@+_&p8dIvmTMS1&->1XCi26!q0)>Xq9a&- zO+N3t)gxRk%|eJ@+%%?Ur;cT59a(*&C*a37X`4JlUVZ!V?`OpQ_hQox5jiit!Cp)T zK`|06<8k|@fs*uSDC?kO8DOTTx#j5t4~X9P4lr%OD$=gRa?`XtrlJhk_F(g;$nax0NTu~e)?K~7qp)~ z=Ni@YAUD1S>iqCk*0jCX5ov|!S?Li40wkat0f>??4=yDqS$G*{x?5D!ml_*z({3k! zbCCQel2+&&-Soh+atf%2ENkM}7F~;OP4Jy4MxHznuK~4!*^5}V}#j+*yrOFg> zy9zj=WGnKyJ5g}Hl~GMGFz>1 z27pLpQbC&rt+pVt z4KZP~goEf1H6NeynJv(U7CyB8<68Zv>Sq1)>8t%ula$PLJeSawH{=@xeGvmZtzXtJ zPyeo{q7Js_Kpq5`@;j2NAYCD+e#s*sKVNf+HdMzypZR7t!&#zH+)D$ncnv-i3pnAO z4Y~{*Jck>C9%|~@87eV*yFC!EWdoNH?NitaV&-^$4^Fa60y79V190=2WRuLAmcP&jJ2M{SAd8YN-~u_=Er0SdJE=wT7dcR4*^# zX{fx`dYUFLKutFKde_HfbZ^}~#{g{(C~aBFCOIj5t(PAOsNJQDlg+DnkT=$0cb_2b zbsye7)>udiSLX;##ee^#Wxk*|=|t@sJ~R)(rOET8bOI=_5*fK!F?Y`m9wJ}RWalia=N zCVf+=<>69G;JM8$6ak$$TVz+tD^-oA_x-RIp2Zp>SVY>AXXOLB9OUWZZe%|{vm2Mx z!{#K!-XX7`0>53oTAVr-M_s$HA9T8p%3=VH%4)=Y(4r(YxbC?}UZIm91YorM@uIGbufL@y=(G+S`GWQhJIobyN%O z{N(iosJlQstLA77Mr@*_`C1|E}kLC6FMO> zjh0;Er`z5?6%oaV%4O=3)n*cOj_)Tneyb5zcCyD518TI;V9HcAyahB{*wq?#FS4?* zh{!!|lXMY@xoDAmIJ`--xvg;L>nb)@$lCVCHRhd~<$`o;zKu7x_YECBF$^iK#QtyfY;0sL_28cl1q38}N2g zw3u?NN8QahH4K>WsCRfC5FqZrC`h8xtDRoFot}~LCGG8iXV6WfaKXbs5)u-liaC%k zZpx^rs;WY5)6mi`BW!sJ@J?+s_ok9sbn^+xr3n%Gepl|1zz!~RL~<(mP}SDjU;SQB zKF=ImXwq!Bj3JEur}p;5TP})D9iz--VP}Hc|6M)oQc&BROH)aM{YQUoTQq)Wp=6F9 ztF)@uOu@B*GmXi!C^{m+keK908?`&piN3WgEG!SrBeeh4!OnGk-EKUqNBc~M0c{^4 z7%-lUp=~s^31I*Te50(-YzI<~{hA?wgt9g$sIHy@$tEJ4FwE^M83U()`;-x&w&oH< zj0%%FQ0xb&1ZL}+OVXgHFs8KYkySPqc{YKd0fGS*fm6WR8D*QFR)ZH|9czC2SCD-I zQf%37yaXgSRyu@19KK1#Wk`P}-igYxc$G5~9(XIf8vRKAzGVL^20bc!s80$$#$`x`Z$%c5Uc{${>#=ZI~$h{u{z-Z&W6NUunIN z`NL@awVbs7>RXj`q63W%I~7vmqF1;a%UCx;-hbQJxv{$u*SOSuetV;<2IbGflzN4w56Y^Fl+@7PV$2PL{Aup$%j3G$Rqkburm?{^zcOSoD zO8xtMOjj^1SFP#%ULh!wy{%(q>qsl6EYL0%i8QdeY)iGOGSoDUf4U!kFSJCFYjHM=~gQ>o( zXkVg+{PsY9zv0Bk_&^jyxK^hL+c|i6Y^y>y^{jX)MG!54R3i`x>%-{}W4e`J4a%j4 z**r{yJRBUz&XV%-mCf_FY5-6oE-fq!?^4cI>t#*o>$KS2a!QRA9Qh(IZ&M@6gJ1w$ z5t106^N}S924Gu_5G~e;`&}g!6}HUr!ym|g=|I9II>}B$TC$ZvZ@s3J|IoqG2*%7b zW8grfYGAA(xf1era(o~qCw%=jNi{tky-M%J);hvwXe%{emqQf6;D1+NPcPD@c+4JO zrI)_|>f;Y38P5NLQY8F;_SLE7O?Jug@$u^G!q^seb;j^wOYPy|Awo(6TLpv~qiE1i z?HYb)B@Pabh<_2z?iDBoGV+(j*{#64el3ETN#4%h-jWu4Oge&sKSWvU7cP#DR;PF} zhAat@Bzaap{7rH+ftV6`cpFJAY{mJD7o~gCBK51y_(h&7&DSNB-9ra$L-55hrN68R z?;JYFEQTLQ%+y3yR1htggKR{#p-k3dKwvCkGFoPNZwjYhwUtrOS+Q9o^q?`ICEyNW z@9*@Xbm6$Jes7m64=gNlnDqT-?mX094DavnXWAfn(@>zBmH1JbLnVqwYNVgx^tDzs z-j^ZLJnY?NTb;e(uhmw4$gaD_+y02~SPVwBs6h;2i$X90y- zXRSpEf*hCCF0~w*8TPWCRiXCw_E251CgmNv06(-=Bix;0F2Dnrb~Veu>6s1tKMNfl zopCGOPo`hIi7bsk-)AW8Epj@19hID%jOxoha0n^1Q(A?PqTmMhIYha?3+>YOOh`_Z z<1ws8ZHq7qjtf@ZL#FSS2By>_f=IG5%iUT22b8Q-&1`VA8 z^jIuzkrzsq&zl!dDLB}bnXY{I4#UgK%Xe3{W(qRkooRa9hrW!R+)#^AI+jKuC6I0! zFyxd|+HAF9Fanp*#j!WI0yw2_pV_Yuji1^(JFkeV*p8%V1SKmsuh?=r#}l{i{WI?u ztk7f=;e3i+rP6=8z=;}6rnl#%G~}dM8X-`V3U|?bLD-mI3*sirw-(-(ZN&2^+UIIzLvix-E8YU5am>g(vo!O3o|o9j}zt%55~Ijm|SES8^ZZz zOVM>Eb{<-8mABWPkEjW|A(@IlU0$3s-``uu-*EcyQ|L*2H3S)zu1Nnrwku4#f-zy{ z@6=7Sk172aM9nfL!ZzJHKWMBJs-@o}*H%P!0%V%AuYB|gWh0=B&tmSbkl|o~;$DxK z8BX+fhyf(<-O=Vq`nXZv=q_m8kO`n7TXY|z z5%36dwGrfW{GC@Q6yP>J47E2Im5?9I71h>eKqd|u>@GPD5rmXopXjdks{3?tDwga? z$}_ORq>4lD^A9J?vnk-AwV}_E&K#hcf)3+LVFjQC04NMA@fH{uzD};;HAej4bOQHW0Hk8)gixnRia0W4ma!?2R(=#(M5R9=BzbGj!Utr2Cn67*J zopI3JXMHH)AiqXeUqPWK1}g%t`(=V>vftMV!>V8%6SXnedVNWalfyHH1m1&$;}3Q{;GSR##Mlv z=t0^~z(7c^dI~5o*VW8t?&a3SZXM{S_LgzMBwu9cHs1Rw6$9N` zKxK8H#n%w4OkmW_@-P;|VDlFreT zg&&8aV0qi?(#ShEuZD)wBXxsyi*j_=e;wa?`C8!thwdFRjwYXQ877tw=h=DV!e3>} zieHd97F_R!UQ3k}ZaD)33I{6IGW}>Y&7leFg`-MD!Sx5lFRRQdK%@MII_!Zg0hbi8 zNHh1D%)sOgiGy*HVgHD<7@+{Bn^A`~$Us?hg%yn1y8*NP!Ppx`KVG>CRznBS^`Neo?7ldI4l)338{75HEpV0=4 zwKP@r-XrEniKB`RF72x>%ix3x`#Nf!sA$BpIJ4sYzMH>6^tK9c z?ji%32-s|qby^M@*e?`ArU#hsz?goFRS3X-pTE03qJ3K+P9(xmqF-u+f*|DDp}z@7*mw(SdfNI!zwJfQKrEJ5gU0Rj)Jq*@SIvm)oedw8%uf_nG` zWIA>`NikCj`|FbuT(OT9wbodd>H6RUg3+itmqgrKVUhhcN z0?|MB*F#}meatDJ=Ut&7CHwFUBK)sgPt#lHnYKFSD}PblSVyVwG?R{uj6m6oRdb8| z7)JmE#Fm)0!m8hPT9s^9fmMTzwa1aZ2?!2foK;+=CDaZjflgfi6zdkS+B+s%js(?* zB`L;1OPLp>=XZDA#@%Ry96!qvNF+W(N4Sj!t|FNtp_KsLVX#Ds-C3zUB&dWmtg^&{ zq?zGBegaeE59dZav_yBb+=!<-YIz_f4>tSWjhTmMV8SBR(uLeMiK{!=M{J4_1=^AD z_eJPZKqbk%WgO-DFw^dkqN)E|5T#v=w!-L8ZJkxVc5x>}LgL_i-3=`_m92}%elu}; z-3&}p4ukG)Hc@O|dT;Ov5vHF=(T|;rAG}Dk`mpQul5YZBldH^1NRm8==7f?6^YZnB zayC;B-a0Bc_$tt<^GZvjmutvKNq^DIom}6Z-P1OL?nDTIKLiKdpZ4?7d7SB{UlDJn~LYe+t)#-PV^xa9OeV6u}0?+(RG}e zdXW8xIf@?Ohg6VaMgK`uG+kkd-!i?g4TUSw0Il2TL9{bh5z~=9_&T zfWmA}1K=pp9=3I--Y*9rStAfV2HMT6Sw6x17z)ZU?h8FqEl*{`+fJ{s2kM_cYvr6y zvE4j#)jzjq@oxD8N6A{mYs$;7X-wDrpSmCbJ89BjG=2h~;8)Y^bV4pJ6PcaOR% zA=&|3u}`|Dh{oT+USOEfwUT{SGN9pc{V?QqW9a6!#6e{PsQ!XM1Q8ujQh=rrA6wpN z3vg;6zWds=?xit0)eq$akbVcBSA)AM(>^6W@I`f1N#GbT?_WX%W|yTjeB_|RV2$C{ z8*j|3FEfnvW;vkbs~r&DpmGJz^tQf2t?W0+2gNr{%Jc^Ye#0A~CbxR9cxbs(yL7CH zf2rAw2ka=+ib6p}q+B%lF839-LOlK|D3C+HzJH3gX}#Nw9vQR#a+4AtUv~x z!|Rj2!NtYZR71DlMNLbqhA#%Q(Hie#pD?;^6eLcuqzTdT8?31awEO34`wR~se*t2{ z5JEBpTfDvZ21=z|U*ai}J~^>@hIi}MJ1|hn1;pqghg3O2On7uIa~^+YL?Jy&2d_g; zvT0yFx^Cbx@6M3~jA$sIh%hdb2ieB$>bEjS1Y{kkG8Pht6-0kdG<^ghATKndtG8~3z*$KJ^OTd`5zeM zv|x4aTv}oRS}ghNLpFfVsnkH3I1Ma^O@{T;64N{&jy9 zo@otn-cFqwj7NB&upfoVU^#1RB5C;Y0Y*<8eZ;_WJ7mm2oMUhRNlfsyvjh^)xpL9& zHjokc1oar`p|b0v3Gz9xt8~r!X&E@HihvqlK+1zu0_^N8=G((+Rt6e>{#kklp(g6t z0{{Ikcd<-paF^kwd ze#RlMG~N=}H`mkMy+@tL8^UgLUp_S><00G@wkVij9^Fpqq0^8^BqA2oG3eRunn*^N-|v!n@zo%>zK=?d_c^rZDdK3SbRmxZ$4V17>kD;rTVhNak8tj zbDCL5IyKr<+fem^0EM@bb)-_$N}@K$8u|%fp&Z7CfrPdt9vPF8YO6zdKeYDl^v_m*oqQCM;PSP8~g5O_*%E-cW0S zAeZH(BpnOa{^!8%9jLV!KEx=0np?GU58}oBT`4I>!vWAdGdsk;5ltTZuSa&?Q@}w!s2Pjlr?_az|8#4-J-V%X{pS7av{^Bz(Zxl9*tns%HVSnSfTJPJ)4mZC~Uc=Ye^MCa{H;Pz4{mKjdg3ctoBu#eW%$2u4BFew7 zXAC{jDZY7^n_lL7Gy#jsOZ))`lDn1XTh1Aoo=eMV^EIV)Gr?jWT7yn3p>>b5ummA_ zzv{$&pepLIKq+9KNa;yg*(mCLs47M{v>3jJc?H47BYpfacE7bWy);LMnNG!mBRsHK zwiio{7}I#*tB9cU>I}WkEQY+vY}1sUl}`MQ#@t4o7D^=}35cV5Z6< zkV2kUCBPT;a|L&ycL(#S*!O3qbH+XD4?7oqw!gf)Q&aQon#lREl|`8J`Y z8+F+-c_QiB&i95+Z}OxFz)-U$Q#QI=e+@H*>SY0_wtuDmPSF}- z(BGBt!V5;$R}z_7=I?}cA1zweRq?2rM zOA~2hOOacLEm7Vpc3tII8gSGVk)&G#Lwe#&V=1=Nng|HS4NWrJe6xUUC}naYqYF2J z9X6zwXZwR^h=uEX2pgm4#R&G}$k9oa{^N7TZZ>VpiYcVYTGF9y*}w+J&KEUcK05)le`GNvy-4UVKLR}7{YVAO>HaoWFU!FBvboj#{Czjcjj*e z*+`<+Pc9OY>g*3`H|a@C!EspInSb`Di|lev1$A|!b;gg3+`u>iE6-Zc`ec}AmQ*(* zRf*^MGRxn;9g9Bm-};sua950WzL9M`MexlBsb_VJZ2#q3-6$Be>{JNyr#H?%6=V^o zP++KVDedS_WV~4R+OIsDGi&>HtLwzko}GWOV|ylg<^3g%_hr|OweB!HL;d@4U7t1; zc&c0C3qPQ^AP>Yq$Z6`ffi;MZ9;8|H$S*z+y zsTcX(+4Cb)5rO#04%E0w=)~)+uDIjd;ZaOg|K`)HRyXgIr<#WZHg|k%a;D?RI`P%F zacIEW{yVm{b~{sEL^;QQ<~833f@j=LZO0R);iZ>O_r(<6?@(~wCoHr)+0E);6I|Pc zYvG+Uj^QQixSaCE;GvaFdXuBYv2*cZTmE!WO6BNh(8&smuEZ(s_&+aeSu6+?KR&+U z24UjC2iWEfjtniI?D>)}_HijaeRe)faCd;ozBpejA36~2-V|kI((1!3uk9ovwKUYe zHZgkKW66hzVoi>>wh=2VfsinEC}TqvWsmryz4)nY1U<_86D_8+RV^pI6D5>>#r4#% zw7h++t^6R1ny^^61m*o*AXZa^DYXmJ$-YjElans)E+xJ)4syhZRxu+XbrUB%zef89 zFAb+b9%ntQ;+$)t)FyRR$0*}5a`znePKSK=&&T-v&8i+ zo*Uaf#!X{KI?&t;D`=?ae59SIbfFTc4hn&>Nj#0AOavZv(Ww$_o#g?QZ}*eFaudO~*^vk`qNR!0Pr;rh+l@8_8yig_sinZR$za#D;V&(bsOa;_bxMd>iIO!4H zt>aGxf~R>6^bzFg3HyR6ZXufbk^#Op1oCypnTON8U9IFKc}twmgwzDf)WW@(`@t5}>5;6?Vz?us_!`Ekq0B;)iQ&4F$Pc#;i0VRyA>e$kh6?h|>>drR`6sM3 zw8FgCmfd$NsODVj15c;o|HsTsyw2>Z;}R74CKXOzN;TK)Ox1GS-SRNl$&(sAReW}; z!}?NlV*bvv{Rz6rT$*!QCU^X|7t3G$cIe5$4%wEWai(E-0uwe{Uvy@3Fq3rQ-+!Ik z$2F20fBDVjUdqQ3Ci!B1z5KDyKKuLkHH^Q196ngcIr+NF&%FM#e{%7Ney?Ra<7?Mh zmbPc00~gGePxWH@IUkyOU(jz!#ynGJIeL4(Wj-71+Z~*N(_FX0Seu+$^<0{^^jl-A z3+DN`Jj|M9wr@rI?#(Ed(rXwfhtiI5*`IwAmx0?vf6r&{_O%}WtMJ#p z&m{fpQ!;gv-+|-aA$-Lbu|L0#h4MzyUG($~#Cq|G3eJxA86r08i?Y^u}3_rkU;wYr#m#d$*(K{arRY zI2F|Mj*AssS1V@Q4YKnF}dV9XClYh_0|Lqz@=dzsyNU|jsgW(J|GtBTBLjBu zSx*~nx{3XSiIZRp6y=NF1gO+0MMvqHK38z4|Ste~Q|ub+-*|6{x7n|iUQ z!S1YR%@^{JQeT|T_NRGueh=Ph%>HnoFOtcY*}3<_f14nRgYEOzzY==rKDV|U-z;oe zIJ*D6GznXw>*p`AI^rDMZ7L7n9G%i{y7n@#dKm~CKo1xZ@F*} zIPCAzjK?gA#&z3i-)wZW=jQ6ZALMld<|JnDM$Xi=ioLn9v;>$F!Ma`}Hkl zbpHO~u6jCT0?JtJqnlo}#oh(vezpVUKW(qO1wI0Mkj@c|;b~9SE-K#p#gA*6Q`xmL z=+;F2=&!>k5;cwnro-GVGA=C-32%~nv}oftpXz(q@xk%(rjm#XuAY~;{0nx}eT+O9 z0*RDF(IYi`w0`e1o^sZ_Gpq>XCu~nU6@*o^f1O{L$Na@utlk~$cT@J=-Pz1Gxc9Fz z1Z<2+OzwTg`-t{TLVMya8+d&}3SVAce8j~{>tt&bQCn=d##&w7;%9y1HNM&&x$hP9 zX?=Xs7m!F{Jv)c;@WfFFXW9826?Re~<-K=*qKfkRZD$iRgO}I-J%KG#tUA#^PwU<~ z)y#*0D;GvGts)d@1HWDZj@|VhIh2;H>v_ZTvI_%yT5UmOh7TM&gy0fEGh9Dw9Vy8{wWw{^(tw$6Ydm=PXUn z|2{otJ^B7eW5+oB>Y}PPlBwLGxGSM`E>5LnKr>%&=(q2q3H^u^{A`(_#DuyV_vTIq ztdBCy%G${e$$b8n5x9AI2nzH^*G$s2k#>OOprIM`|6_hpL16)xZG}f z>{$W+Qsecb)#djwPP&>m&?m7LwBn%2-n-O-(ypzqBd5=#=}OOR&S=(q**QBbpLw0> zO1!AL8H$_xp^?^==N~sP#_PskZhLNC9GR~9{w8WVqcHDZ4-iZu&3jPR9yfcAxiS6G zo6Js-_cyVWUmZt}LnYmICf(=qk$+7HjFaf3Egzf35(tA`)agZkhwm3T`*$OO-)`&T z&QS(!r)f&wR~iVP$v^ksOEQbqQ3YQ0e;kv=sq$uta@`B zkLiX9zdo)kZy0Tkb!xdYySdrb!9FmzzaG0NVK`7m9-|A;DIqx8Su68|SoicS;%7cl+rmvLL}hHsoI<5=su%824>o0+(yauws< zj&uXo%cD?6!~IQfl&|;wmfiH9hFZcroQsS5d40!!Eic_nlJ~NlB0jmz?O}avD!(e0 zM0|ce$xlc>T~FeW_4D;c&>07{it4vwo2>Xb3Fi(d=e;&FGyCemeQKk}uW|)c+MkCu zDijNowzbS!Y;MqYi{4aOD=$jFVJ>nt(>T&Yh@#x7IJ3^9(4e%kq!CwvBGyYOLLAsiI4CPQg=z+GJPm7r$Z524~t=%&xcN4s9bkmnfa}X zxh#a)T$R;x^;L(!M-rcUoZx5}EL{5h8l9yVe(idtJn0B(NzT~f z)N4H{B1yTJD?@+err1~<9{a@KdJXmYffTOx@UTM>7ms<>{_1sYfyd7l{ayZaz`SU> zCUoI8A+6~~ed*fKpRsL?C4EPJr04^s+wU6$d6%gb3wvic<9t$cLAMFaze_%u`%s;O zth*G+t`G8~7OoS{&8sF=DIGwn%;1g<9ntqp81T&B`uzV!)&KvaB^lL_49+L>M(~9t z+8%1yAe_mI^mw06@?RDPO2;$=iU?XnG(1R8k{ z&i1^URX_KFm9z~Gs7b)@d}{m+(MjMJ;b!%e_;O>~8Z{9(-)SrN#Ang%(kkYdCb=jFXxQueyD#XFR7L zCl*aKH0#UNG|%=Pqwyp=OJkZmMjJ&nZK<2D_r&+7_{{M4mD^8$X})vj)*PLgDvx$%dQADi| zObQ2=5zM}th|44kY^9^741rkH^!OA_C*%J%0_gO~|9a3eOxxc%H-?N;CJf#+=aP=g zNF?hTxt#|!C;c_|a$^vJMU+`jUzZZElAQc;|HciBr-?WL`@dC?D#^*z2SzE{Hj;7Q zhkxJ8(BR~7kr<5)YTT!8@_Z{X;=R9zRivK!vxreC6!VYUUdZJsHvRKeGspX*u|z2V z#YWZNo-==DwD;EZ{h3>U6$g*gr}8p7xXj@p??909q@8G{DxYeL zj2YuaI59~;j-oos_L#1%wfT}A`>M!cNW^ztkQcOLH6GylSeJLxKuA}nK=+Pvm#gA0 z$*y(ASC|{G&6~sEzL{v7hF{M9KV+SCR8(Qx?g8nRE&*}qp*sZ$X#uIB8>G7h0Rd?k zQa~x`91!U)3F+>XR9Zj~&cpkz@0@R~vzC9z%-(x;J$2vL{kvLdSA!0^lijCM4S5C0 z;y20ZJgr-qu4IW&XTHs>FJ#}M%it4K7FTt&uXqVOj0{L?sc}qSQw-q!X%v86S5UWJ zlfkRf@V4Q!pX(9Q-DMv;qOyWE0)zQ9uSu=XvGftQZARaIAKUHvu+-^2LO7P3 zAiGbfQa?mU%>S(K-(_p$zafBMH49qBRKmIN`Il&L+?DGz#vl8d7~erp9Ux}^GV+1O zy-`)EAEj(RmIGw1UZ3H64uYk392jb*njN$U z1_YiKH{C++*c-$DtT?7N*Vz|%_Wx{v-E54a`9Gx_-GUg)0D;E;d*S}?n^$A`wop_2 z-}&ULvwxfCaVE?`RYmeXt4md-N_Q*YP98T3SVg9S{8u-5$DgFoY^?Uu2Es%=+1 zyVaYQE|$CI!9&H9IiNQqrhV>L^eO%L>cmTzPTo)wv~%|TpNwh0ab@#-ypA5L-0+f9 zfK@R6?(v##(Bb>%1@FfAwmT5(tRVbt*;)?{A^(Z#`m*Y7E^LxAH65Mk#?vcKt(!cq z>j~~=PdeTvE!}M*3nm1cv}7Mdq(JbRi>9}DF=B;v&%X_wfn-ID4=DqEsgv8GjUM!U zImlp26jG<2z2xtC`BC~%e40R?fn9BK?yWWVeE8c*we!VgOj#BXo*mP7>a3oOMsB7C zk=zwYSNzu~QBr#hm>r~;7S7J*ueKGPkv_YiGWT(kb8agKhx zw}xu|^=qvh5h|Fs28bp%e0!N?jG9NHX4DWzfESbhMLiALBL*>?5 zm~;dN7)T8V=O}TdGiz>-RzBy-o@kk~gC3?oeGvl*45Xbuam>3m+vi5Zo>6#SkEL}R z4(qOyNI?}On5zk1|F0G`nm zw_{|$&pS~;UbG-c6qxoOuc784gtIycoB9u3P+#nRa$}I6yxP6R>|4GQ@ine+&WO-| z9egPK{eMrV{@arR>GSiuXV?Cpj7R;4rPj=iTeb~W;lqF9wRDW0fa*5->zjHv(*Yu; zkiu)eJdov%+B%v=Cpc}pe!ILqusOT;p7I&Si+5?tARh|900_WAU>l zA=7m_jZgol$Npap2yyNOEKn~67#Umo4{MFG5?s&bYvC}Cl5zq2E7;8+#-Qh!kCaon z2mH?m|Nqne-=EB6r*BCB@%11*2c=9t(|b2h3N{9O6P3vI4`ecC6k#RBRL)l4GVVuTh27Rh%Og`Yu*8m+MOebK!TfvcnJ~d9*MJ zB&UbTk9ZkOY{Bi)HFC{HS7n^GAWvY2DLWNoBA+$B-s85e6ZXoHtYu z#lhL|Vfb5_kBrZg+D#>=$UiY&M!2<~>~#Ij+mBuvRZe*b^f5oH&BKU&n}$2Slfk%uqY(>91{n8g$Or@>zB4E4s_ef-w%V%w!(!j$KQg zeqGnnioKMurop3d$JQ7^4J#L$Tq zeUHZ^mQi=>&qyHub#A*RhwIDeN9`&s^VWeldDVo=`+sInyqci91P5-`0(K#DrZxGT zj}8nKB8+|$;l8gEsm-WEE*DzRD8o9rYiIt_-|U1Fe%fI-eT``Q9$boBUfYRs=mF!V zn%)}L&pEUBG#;nqnciQ*;3E2^pEC_@Q=|qnq>tef-9Z!$%Z>%|Emg@SO($+>c6I{=pjiZ?KXb93%z!d+@veB_>mY zWE})n>j)xsKpFB9LbPrL#86utPYD4-3>O{dl?WNAVb6vZ;%%M?4^;+a1J&|-Df)Nd zaCR~vyln~MWEq7p$M17;aLAOMXU+3ra!?ZjkP8e%k=1%Y?+0MJK;n3<$xX3U4Ql@7 z^A*3Dwe_5z?0NC*D3?wDtN{6LfRc&;VvXE!+ta~~+UPgk0k!F8y;thkVGO>Gwsi|F zS!F)rBX%<3DR#PTATn5cOI3&mM8{=#Hj|uTFFKn9PkRTqO!%O6`VwW}Tz8^+#a{vE z`G=?&g%zh>jL~%*_0{cx)++aExjIYoxr`0_$mUtqYY;@QX0OA0ZBZ2fG+}q1cH+se zOg^9ZemoN(FIVx}=A#8wS&DRQ0`ebY=jT({*)v9TfpI z{O7`4t2|1Qj1O~WzLYTuKWC?sE+O6Fmk7>U3xB_b(4dvoSU$n}t)p7?oqmZ_McaWM zJaOAk8#J%QQs$nyf}T)kQQQYIVr!6hX_n+mWXJnCWg7HynH4m~k>$!b;tk#6c%+G+ zrpYHZbMy z+khCi4BdD!?#ZO1+wpEyc#tqM39e_4Z8T^4H56iNtj2brmqS=JU-4mLLT{E_1TkZa zk=R+NHDea^c*P@G$pRTPx6OO1+Vo=@x8v5p4Xw(ObBwuW0oED0&YGzA^!?wCvOx2T) zofyVRkks%T*Lo|IUw$StH^TD7KK)jmFC~+#?BMtKOp}>DyAp#?E`w-gcmccDRHd#FzoQ2vl$V?BOh$jC2te zug%U;@37_nsihLxYYrH9Fd$~@vgG}NrJSn{%&)h#RB~Ccfr2YhVavT6=<`8NOQbeS zjhX!tySe zO@ou#PUg;5)&V@!{>_iXsp$>Cp@fAw6;xo(Qpgo^jrOnrXj2eT`FVrcHyFSV?wO22 zfNUAS30r#c_*iFsSR|ajlM!qa<%0+}AA-U}GVOp{z$tw|D66a?m>!dalyti%?+q1a z+JQT1J|QYHu-GP6R*8Yzi=WFa=U<*t2yKO?I6qWNWS7*C`eC8?1YEpWTF~c!vc&YB z5FB}L{JT>eU$ycL#>gCgO^->{y)8(RHq~XQiIYEoT_+!{2Sv%Ajf-68t6mJ(5VHzn zFYFm*DqACIL_H)$7jhC}#>Va5_IlVwQ$K>?HS3g}fH{ICz=^sq{8i^3h0L#HQZJ>j zY*n2|PG}XU$A)rmq*_4nFuwbnC(wczBRlnR%z)2tV(_!W4^Zr*G}pR#)p$WCANTBhuFonFX`%}_oeUl;>h zBmaa`rAH~}YLz^WeZO|5VrE%QO?ydVS5yvWX2(M%O5|=Rr)wOe9cSM%<>pDz*r3Y1Q@c9%Sx~{(DXubTqAt3(@1?ds}0HZrdjy)bF@mO zr**jS}7k7msc^fdfvUZoc2zkil})*$87%*Im_2eU2e0 zf#hIwVPa?p&LnAGR4YV!Q*sDGc0e2!JFY~aRIbXMrjuL!9XISnu&pp=y**0zBWBYl z3gQXPp=fi~nGxw90Q=ciT%9*KtVwo^TW2r0cL6oJjw<{G9|lmux8I#`f;G)!fO_jxZ=-rwG-%1Is1yL;jKVZ=A{O(%{*(`FO}+m-Uy z1pkkrc8iSg0#vSB1BKknjAt()y(}bhW@c>4Qn{A^y9PHW0fMF}A6u~Te8R>aD3!@L zqAT*g6}|SC5a_!38K|v&digCjUMdyJI@0vtm`lt3{ZA{727ir;W1I|#i>i!BT&x(+ zZ{pv()Ak`g)s`p_X^T9j4}Ga+gtqFzyu`=00WNGtE@ds4R4m1%!^M1G*9_N4$^-E- z1f*&HkUzyYBN)D3KoT@yk|yks<>=N7VM77b?8L zhyB@i&dV&E7fEGCZfwqr6y*XGg@oZad4|-vtdO#>aBl>xLD^8KrfgpbGniBEc(oFE z8?zX{nw29iM@P%+MOc<-cUutTY-RlShjwX(() zeiJyJeT+%H=~6UD%9b~7+=y*gNA@qbwixS;dLe%PYt#d!P>ci4Y8q8kszoBNuxN9v z)Csiug)~#`iV)2b`JiG9PcwmGC2VFHv=Epsd83PCA~EPU#z@1kbe?cli!16he2{-R zZI^%=8SFww)n!M*YO#xt?TuO~w18+DOG%f2y^)nUm_D8z$0XHIOntfea!w&9|1aA+ zbo6ICVSs72;xMCb1M z^1qx?PjWr~V7hGR&|QNMLEA~N%uc|p^6}sQ(E=RmlZTfCewEjv zM?Mb=6GN%bD60O_5gl4#W5)mE(XwGwNl{STnE%VQMPHn71!24#e3YKd6+k>^i{W_FL@$-{ECoF zE(LQOf{ymEZj(sG`nrcAJm*KonK$6hM?A$NV!aM(rog%$MqPSnQrx!D3I%5Z4MP(|U^j7U`fl zk)}DZu{licE^o`N#5~y;dH&E9i+b7C2( zJeDFYHaQ?S4tIOd=4&Y8(Q72XF44-vW>sN$g{=&FZUBuD;0e<$#1o*3Eq;M5r%^rc zkOu<*S|VkYM4>voI{gY3vZUjTXhBQtZF5kJF306ffgd49TJ^CF_;*Da^TJt^qqSK` zh#Wx&N~EoBeF*O|w{D5D0v+*qTdo$EMw0z7x1Lopi!|bef23raX;1Asy$*nHpaV^% zYiKe>@4-dXpKZ$2IeVG!4ytW7pObwQqPVyO>|th7XLIh_#D&rP{tqi`Ehb<^1ZZJi% zV-liD9U5r2KJN%oFGjI@%EI+})!lJAPMZm22!yN*HZTXuFmJoLx0 zvI&YsX53Wn(pZXwbMsoEX?;JHQaiW4Jktx9Yl$ zBF9+2LSnvuS6QH#J>-Ssrs{9*?yrWW5S3%up$sVHEH6Yyj!}MP##7)Xv9^S04nty{ zMagrS^?VkR=BB)fb+V+@NwkwwN*Ts<#$zLoxkcgV^NQ5$a+}ni(PNLHsbUjzQ~tyO zo_Fx_uc0r>3W$>uAA1y@V_Kf;B_l?QJ`JutpK6qT4Ap6(@Hk(>bp92^GW6;%;zc?A z>k65qk|&enwYzGRYpT@MkkIY1f)m*|wCd1U1>JFa#Tu6A%JF>Z)tEB`&w0Uxp2ElK ztVAytJI^=b#s}z%NDldU!=@4wDFrZx3M{o!TJnv}xvUv8L94DPT%A_Ql+3wzg-T z6?=Ehy%2+?0%5CWvzQKO=1I()llnUSZpARdgOzL#W3Q$wu>=J{C?CNSTzi83p7M=O z5o;WmNGi|f`HNY-N8a8<14l<;?zqLrC-)yOKZoD!Vkn67VCoJ=st-r};*VTUSDh)n z4s<8+*1n#Bw-_m0YCnCWN?Hf*jkLg=nR2>R<3;{RiZe*Z2W>qQAL&x@K3_*e@@x}@el~vZJr(^`EEfIkBlx#3^sJ@t zdsFO5&QyV=MH~Pon!b61C77woZ3-W-B&h{O5FM3vuLQ_Oq2|6GlphOlGh%;yFxU)v z{~7*{B~jr6zB+oSGz}k#Ef(WOGY)vf9sxIAtu=W`C?Srbuv387=Nwv){(Va&DQe};# z8p_F|*o!2Lnm~B&d?DIj^JB5v#SWQ$M@Ooh(6;U?gB@8-GVU-yV~tC97Zfnkh`6Bw z<{5z912inzxucVmM{9X+uiV2)g3tAgj7W_fd-2W(t$;njcyP=0^)(GU4SsYDeBevo zgm152ZEfuXXBSZCA`~DDO$$-A%AEF|p4uK`#~Z!TfOYE=$M`Kug_WHG7aRRlFSQqt z0$mU1ctGXoGqUK&%0tbC@Ls*0(d-9OAGe4|B&gONR4UN4GE-GmT?b{1>7W>gA(3TJ z6QHUXlPSYHdwNj8!+h9Oi!E$nLBG@4&5M=Nhr<#9^!g_!SwimVoU@r=pw z)oY;92m}w!^FSIYKI>eAPz@oJ90k0CoWWcw$05Aora7|%49#-TwX-l2z zh-oT*)lE?4CG5H(3ob!aEz)Rdzpm>&uj(Z59qI!WkFEfkyA*ZMCHUoyzSl%}ZCL{N zRUTkI4`6|7;Nly3kHVm-R#wbHQS;y%R{){{HIzhre0+^=yJ4%^aD{c9WYQYV5)x1y z9G1lV%G}F~47?!K3sGhiav)m7A&N}@QRU|$r~nNGEKMs`MJE@p$ZJ35m!KuZn;Yu7`ISJf}RKC`}ziLvU@GR!kn`O_ExX9J3%v9}A#6 zyNs5Cp=|>_ZX3X=Yv*TvY=FW8x-UySN(_mFpB$>2nxcT;oEhNs0GP9f{Ra=x3Ss%j z7HBBJG!F-R-`mS#e)KA?uB8R4@1?CO4iQ9j?wqZI$v-GD>l_@6vX%Rw#a6%~9|@)@ zNq8WP^+2&pOneNKqQW%z8mSo+1?m72_A;Kf7t~tkQ~p?91hQ&vZ&Ae%yQPs2MJ1 zaqtmF%OkeDp4EDFyAl|1b`*GsPKkV~-a&gDHIQSxZ}e@udLuP|pGQl{(12%3rtHm$ z8PMHBV;{>t#qxS^<4%BX+K2y&R^<{_N}W?pRA_oLtq3IDH@-hF>`E= zb$sk~ENj*1ZX_HmScjQZui7Oh7^mqfjJiluxqniJ?k@UKi%(0Q=bNCH5irNKg_pYX zk1!8kkvrEy4(vUn=N#h95hCwD2ak3e)P_iYtJ?A|Jb%5L>+iDBy_(|})#KBGa^JMX zGVpgb3ul+7w|b67dbDE)VR#_JHOU?=;BjR&rSh60(f|?EC=I{Zsr2=r*c9dw#wl&5 z3}(JJ5$;zC>R%-IdK*voRGdGI{guFm7@Zp!7qtq4Kc0=HBabh>3%VN$LWdl_cz&E8p{j42*DC(xMeHShEn{!Rdb#oz8ShN6rTJT0Z8ADA zyPuu){CVf^=SKy&3R(0DP3z&*E&$DOOd|`2B=RgCrTI?DH#;Nu0L=>(Ku11*W9yjXaFws$Bj`` z9zd>J5*TNXXRkB1OxR5P2iB@4Bu&`xXTe^>-(u#~n$n_EoU=Tr$b~lR=;)vZ&w`Ha zfpB@I2T|M@5j*O!kvyYZL4ceKsJyK?1aoN$p19+~DBAc9z+!I+wuVDo0mrf{F!qzp zY-$ggTzS{lSOi_*2S9v@71Dd9LGrux()bXNIlap}O{E+Yd0&O;8yok*CSjkAI=iK5 zL_IzTDU?p`Rr_B$rGNYAO@#i^Q&<{6q}B*XDpIavc7NR*k1`W0+9oRW;~6Can#4Vw5mAJfNx zwi|Nb1_-{v{$byG7f4cEtYKsT<=k>+LxKK)|HOYH+9Nh&j#vX^OnFeZdgR`?Jx&oG z+`qYw0OQsJBAMX%t@?3hy!a_5D6byuGp9i3YQNxB)H4Cp8OSUl=#$8GzPc z{rOc|R@S{4|D4lDzC0pD0djm;J8Euc7j@YPDs3M=XUS!$Coy143E`^3r4>59c@V>( zHz4I85RXm%?dzibTU>YfuC&XTq}W+H3#!mT*oLaM_I9qmv5_+V`CUT}-FFUh4jp+B zK!g5h^SSd@Jcviiz<`?8|B$D%uB4nZhUm!8A6;&Om=M{L_ww?x&sO3~RKW+5z0#Kt2vGJ5cI4XS1Axc5TATO|^rA^t z_H}x&)8@(A!%l|%z#T*UY7I1tlp!Mad=Qm70T+UExD24Jv_5OdEA)cWgutq%299K2 zetqpLem2a9;_nR%R39iWA*-H^hBbQTR>?LtHYaD<_l7R`x+ZT0+JMdPX@GAC{X=_U zMD7`6$p)p`56yG!?4YWh)_d7A%`R1M>0#2blO1H7VA!}{XiKq`GeOSJ4|QQ8deqSyxWhX=&7RX>Yb(QD5om!HFwxBI&7L_I8kODpEow8yl9 z5dGw|%rgjB8$Fp@0IL1Fc@yjTBwu$ADf85EzwhfA>9m@xm07 zyCVoT0Vm=~Jg9|q0gxVZK!GlW8CU6YKivlmoo;Z}oX6Ykx7%EsQS6m#PwWARuOIME zAHD~y*1qh!b7oqQMp_HTpj}I8MoUhKHrChQ=#7I8Z2+uK&!Tht4~vf~Ob@K@-J_lO z)&UOkAH6JL)xj)Bt&;r?2A%gRNc z?{OAazpC>j|8T@+fMxmi50!l@&*0hU^LPMB#EaK}4?sTq{}xeEQNau-cxVzXt85(W zH2Owi-oHLGVWNnB;uO+=`&&0qQJLx-XATNM#=h+F77to>OsmePv3(loXst#Hp&y%D ziuv6p>9GI1ukg2%$K^$CNdX#(V%MhEvyVGl%PY$)(RGs<`W{C?0~Eq`>1N!qlBa_yh9ex*`Mi_XO)Tbx;_ z?wb$xz0p*h0cOb~SX9jY#*Q0Vx^@%_il0h6xFhRV`EF9lttvBq-GS~C$?W^{Uy=Ija(|7 z6*({*sRZkrNWrWZi-qMzx;nBs%u2OX7pzkUuU&L8)`}e?R=0K-eL>gIdE?F6jOkNi zH6>*AYrz^TltD??$(D~vy-eArN>^s4lD3d}$0x1Hcn6Psd?FF=?>7U68tnWdyGk-# zs;D3DB|LT$=v@Xj+==l9p6XrWI)YXYNWNJkhujER|ojm8%Ehxz=O zw?WIUg+>GG;8)CNY3@?JW^q4RuC2AWh9cEdB-fAP7 z3%hNU8`s$Xmq3<;zYZbpcPm%NAD@^7q}PF~NXWD-Zui;))N7fb(b8L#qipN7Wb`B; zGzS9O40hYAN&dip2PPJNe)n1n<@#qP_h%vO5M~iC z(5WnmxR!5~K!B?Rv?*iv!M6a)M~1AdMp>xEmLgVUs2Ru-1v;mwng=Yn-cC76YL;70 zi58o;8V$P#Kcpd|!6NAXW;NgKWa7-MOE4HL>!KOG)Bt6=jecN=k*SC1ksB;h_Jzw5 z=xR6=PTjCd0k9kg{a`zlki?=DoJi!l&ojZp7~r%>kjn+ocvt9bM|gjKL{+W zfzJI?&g$UX5%Llf%SICR#f^bbha`jh4kT)@@8+Ay;plN=mK)07_IM5!BtUggEd>ojfN97 z8SM@jO98o>JXQlNM;Z5o$d%_v?tb3hiU*!Vn|$bFd6*-OWQjaG4x8>{A?Gygh~{cz z@u8dGj|D@hcEa)Z%gmHMyriTgpX=>3xy|r2lR!%Fm)t+=Mpv0TzAEnA;ks$SBC|Ja zaFHfehNe=2W%N>FE%kisg1{IO)qeo0b@iG^kA%r*-=CD75Pn853Mo63zmKNwVIl!f`H456%y;Tx zC43TR{Bx_jVNX)i)2GxxVRf|9T53U3P%yjwclSWVDPOPs`iC>>sQB;JZx3&qyR}5Q z$^GA1@B|Y+Db#?Erd=N)L5Q(@mYgVX(Z7DJ%WbYFe_~`bH?mcD*U`aNwsZ~p;qb{jjx0)6A=6Tmo+ciYb;b6NlZg+<{ zBEKs`HQZCdkgG@%ja{y4ema4D*02=s>?V9#2QNuPjJnjQs}lV!HWTkqjjq7YL3`yo zvxl*6sLQ%4w9_=zvsE7#YZp^+FJ=B7`n5E^yx;{VDbPY}EVLtj$Qk zlLIWCLz6oP+dt>p1rmOfvGlUMv)Ob0{jyjP&)btw6p7>SMCWzE00F9l_CO@sTZZuV(z-?`GoQopc6cJS&SpORIfaxR3{4r6S5Wd zJ)|hKcW&r{J$grAN;g2?>>|%|Ddra9_S@fZ;-Dvzm@)Jlh_Xc9#nCildmgWK!l6#+ zrJAX8Jm$#8Z9Q-;JDB;7k&grpBXc^TMdT;%yAE<2A@8@_fAA0%$u)YZ+WqFrwP2kA zR&3e`RLAd8mwUI;-`v1rg|zk0bw4@&IWO)ren}4W1YKQsy7c!)An%&VyE)#+8sJEnE3>;|cAqQY^tqD*Ofg*$}Xd?ANzx#ooPjXTADd{1N`Bm&LYroGo_k|y|45<&9tdn zF${uc#<5)BRYY}!Y#)?aF(wVbd6b}J#65U+79;|2>ip!;I*^-j2yqNF)DRw!ub^Wi z3*EB!^`!&@Md@N+ZL*Qa^wLbK5yC+IZBzkd~2HWRdLvabW&k_t{q33P5|hw zv_xsfQdnYTHAw92?AML-QB)`ARfiOb@N1FV^iHQfU?}_ob6nQlUc7b4;YN zX=M61CCV}+EXyS0PkoX--`|_-giRxNgepZ&$EHjbkOy~KqAB*J)H>iJu@~nlJtsLE zWgLoPYnmaRLS-wTMhbyDrU!A#hmt}lHHT{!N|E2MCs-Q&Z60@cBsZ8X-Cg7G*~oRp zInty`JaqsYu2O1Qpuvb3{FWd`=qd-`N3(yQhO$MaE(^t2TfGgnf)PTnhV;$bG_9$L zfaIzKg@hDY?-~mKV#*6c`&Z5%9kODItQcllTQkZof!l{I{hat$g#Bz1gC{-9c9nxt zQG{Ai`?&$*pUaiObj@Jx4~o83tcY#^aI6``#j12fws&4?qwY5j16VCRhw%LfK%)vd zO>)x%L7o>EXuFAZWsDOcvjJ5pZQc^JhKfc5waRL%NF5dem4X}E_hoQLVFf%kYtjX6 zx?(O7pC5?7C4s%OWn+~K+xp|$AHvFMOJ9<*w>y`YmnQ>-^53|Hw!~53vjk@kCL<$5 zg^m=ZnQsS;{_;{rKR5Rp_(granwgoIL=ylrG}P)HY>U5W@qfARZw_8@ONrXkc3r&* zHBRMU7x0OVjl3K!NH;fpTWJ~;bCFd8|CGn~X>)|l0AfZ`%K}^yo<)Gz^Z4v+znF;# z0Q1g{XdVUqPQQgmxm}{@3=MMiaz^wnGuK3x{*M-b`1-9j{t)2TArKm_)MTmBsY5^{ z6!nYEQt<+>a?7@jjtz7S_sZ8)xZPWSy0sRBuwj~aRH~D}iLT`z%Jc$bFGwo@(p(7d zKk7~}*#fzZ6r&m8c8O$TE+^-aTcRrJX(7t>D1gq;YZBet$`!xl{kPPT&`Du-YuCZ{du=#SW*;J*)% zo^LN>^b>dsO07~El9XAJKYZu$JB;J>K84l)HE)#Odp07(A0%~i@~IlB z0Sg}AS~bkmnt3^OEza?L!f!MEkiYa*9GJy212;rNLH4BwVUSsZ$k-!l`iHR=%O43RusMmd3=ynQ^OlwnQ3~+7*C>?@57*o(`xhb+dxj8HnphUn(}f*h{X^#<}TP*TT5RU*kU*5CZ6Llpe^_x;!6@FnaWU7I3>g z=z6=m-O~TVx|U66UGm&5Kqk63FKXMZ(dRNUi-!cfb*2xtMzVI`fbopXW~@Jl%FG57 zWuvc8-8P@bUF3G1R0e#e(Q$XLE&ozNq8t}w^qo!nu~jRNlGzeHa|x6z|NF^yqmmbp z3eKD{H0YdYk8BxLc+?ig7c8xpKN?^R*cO*Cef%NK3yA?Yj6^x-$AK){ zlc8U^tOlqF3YO01K~3j4<^uUI(WNqHu|%Kf_D5A9cne^`F|>zN>`8H$RWD$g3T(N}g?K6Go(-SHW=9d!xG+cSUB497=tS_6@}DMER||Vxf7B&D;sh z4Cb|cFOj0v2S6ke9t7qHZP>rDw0bI@q$qZ4WGQhfB0~b#=2NAuLSj=&((;BHK}#6A zhv-xPpY;9aFm%O_(BF3!6jZnz&e`b!2;5LDhHo=4_;I-mZ!9L02bb2vHH*d}72oW9LaMb}-I57$KuZ>FWKlNXd zNTYL=`w8&zmCyykLdBs&<~)XegmUFvB$e1i?r3$*!Kc{SHE$4Ve7Wav)S15g8JZFQto`=cvc4FRTao zmj!MPOpn1gx{?PWdnnH8by*-)>su~zK;ulBmfrKXgsPd0ogD3v)3zlkzKUW6Hqn8Y z-Dhg>{=y@ZFV4HWY(lh%nhne2;_wGLS?bEWESj2|88II9=tPtv%IfQN-NIYv{G~XQ z?Z)y9XO#~rIj48d>a8{0TEDC$fzkA$84OQHmF90{;;=^*@yo`%8leJSjw>zKHD8}9 z!T}Og*OQK%mia<$X3wK#de$zR(hbaEXeA^htWNL>07wpzJ7bd6)D8?d025S$YzFZ1 zl>>`vYBZ}eyz>{-4_hlz8K;GSiv{X{e_dd4vJo(94WW<&<8w_}S($P|&T_F&aaGl; zV@~bG@UT%)gdk@?yW`Zqf?vM_4tteb zr~JZ$GeM@M=14>CF$IXzr}rQ<0&OiU^0!c-JgFIi4$jVLG1P;-n(TF>#h|Rs$jzLj z?nHf^$8H0CUh+tv8jfAciQru{Qa-o)t7kVyEgRJ~6$xsB7}i||f)F8;PDQCGX*%fS z{!$3kLgM+(-S4Go_0RK@F+-%hn{bd#yy)@g8(3o9pN{5eEi&G5xj7 z<7e+BN>|L7IXq=il6{PdoT2t?cXY(~qgwK3Qc4>Z=$9DVGf{U(mX}FQ1BPxa6vL_2 zS@3Fh+1JNk@W3~ZjW52dvAx=7l7>SjN>E>v&GWJ)olKM?RfImzADvGDVh;p7l7hjk zz5HNXm6|Y*RU1rR6F!7JHc>Wx#i(pjRS<*B6F&US>^qLA47+)6o-`y$qZ%5_8rgsN%5-uko^e{-31Eh;BOd32;T zfj`t5>#OepAr=R_bp?9bSdCUJzw*!Dljfq?{K05rYgHl7Of_$zco=VsFLj>|BbsmEEXNg5SxRiD$kRTWXtdaj1nownvLV}JF_2S+7bG|OhZIhJ z=tqhAb$UZS{?pT(G5=*ks#j0ksL4Y2ugd1%Y?Q(etnrX(n~y5!%xOxaS|t8K4~7Yh zx=tSuTjEu{dLS=gGA@fPP3Uuo=$8$8i62c|zuW=x35FhCk|65{{2R0oJ?Ka6rzuq= zpFV-)AZ_54nRakox)F$A*^g89kjSn7S#;icQdNj2B5^(@Blm~_lxYBq#vF&)1Mm$O z6(R7|S#lfVXxW6NYA=On{chNd%PSN5Va*3@0Ia2{ORWIq-AE2b7L5iBA&KS+e)^Ff zOkO_{1%9!wVZaaq^C1l(7w;`h6XLEh#GqIM0mciQvpdSm`EGikuneU46vj6X4i4P^ zxwJxCjpISUta|qZAcE&w{p+{*z%0~3FnXmd3*-P@y77dHsXnk;0_=9c^^ggtUjQKW z0xM3c-cek{CYUa?9fxs|NCX0pgt<157nUdq2br*FvEL2(yC=Zxp}@35zQPC$^;b(f zlDg+tz-v;Uo3K3ff&4xi_@`Dbz8$28QLzN;%E3uK= zD`MVDt}h2t4gr8Wy%a0Lyc|IH4P0aLyxca)!NtPMs(WuAiHzbQF89D1*nmIr1>6z_ z#`uQZIXf#jL~awkuKYiD)ROCyT2Th$PiBxLE3%Ern>|1P*mBLhrwG_OP{+aiBOsfD z6Rlz!AwDPrsaKbApJHI({P2>&ezB3|13RiE|D)Crf2~+LB|_A;ztdHzG1R}?ZhqJF z;Axfv`>C1-WqL{swIL_@!#&b=l`&go@v8cH6Bou4wcuStW#j~Us;@wVdPz{UvSQrn z#dQ#=@w;*7b6&smauaYxaP4hcU?PiD_}R2Uq^*N$p%`?b3;vl0QQZ7%H^Qdj+pvn` z+|A??+38`Lp9C+g;sx4shM>&{!&2v&4v5tguX5A& z!o1&L7ruTfYm3c=mIb$RrzE8KD!Z0DqxVxF)i?_T?YT=bWw|D!3&IrjMr0qPBC0uR zO)YHFrqLqU1l!U@n@aCv9;03Wf*O@?RzNe8XVR|4O|@_kKIt>pD?Yy#s`3=eM5Mnz zG<*ga9ZFZit68IRI6pASB(Z?$^A+p!zjKnqqj6n=8Sj}LI}W7xh>S$xa#gJ81bgx} z7hdmUAH&Lx3(4L2x~t<0KtH$f!$m+Tt%lJQLh12w<5*pJZfh>CSR@LGR4PAL!=+V) zi8x-sf1RYXk8=2nijNq?2!B|Gcg`}ZyUgH4k%bt8effWWoBjih{m)xPVJ1Bl=3yT$ zLq9<(92CJQ`Ai;Uu01)M3qe_xL^u=-kA5vv{29XixwvCpp};bzYRYQNT%l{I zE|(Si`S#0N1PtzJc1lrPfGWQM6#J>1<8rmAcIR*SDdBoE9QpaDO0p`Cr)uiBGk-9` zfRLte+BbyPtmeF>;Ei$$P2Gv9b~{{=+B_|hZMez8=mKd z+g|}6En<=(T4sG@UAm-z`$_IAe(^DKtQ?W%Z&{R;x|3($+9{Wb>x7BwEclK6{+PlU z$E_9e_^hNlC}cC=5?!8B6I`i}%DC;nG=W9?Dbmc@y512%EwL^*{_?j%Y7 zj47k_6IvP(LEI_i_c7KLphLzyMywwr*#bXb#ZHodBvLA8OtD5%NV&dXX|BUiv{jnEbXL9rVAcl?A`&=6 zvP9S?M=>4&fnN3#uo^rDx#XwIDMn9Bx-BtM?KK791>L8x>rfp0h(}=N^q{k-GXrSw z!1H`M0P!~U@kve--YmXM6@Ow~bp92lbU z@bY%u!~%DZ+w-F5q!2+6Ks*eV3GM8#sH?BT3NfBz2Ian#%=>VKLXl)~(C5EU?7g~Jz0#B2&F_l~kHCg9t zw{et>FE1{DcVd>9cibg)XlUpaa7>0i9G9rp9t>Tk6zG7H-x8b-JcrkTy$Oj*hej9M zS9=#1fLz4}9){vGKmdA@7Id8!()r7hwG$Q zVK)H|tuibmT>$RyoBe;-dh4(#qwen)2?YdEqyz*-N*F?S|Bd94}w|KG-x;`J4?TBHTvRZO11d-Vi%m?K)H9 zt@!eoS##~-tjTKh_9>^nOFeJTsG`=kB@bQ>RQv9+m50)n2Eq*MF(mY4_)0s zgXg4w|Niwj-U9~`SVpK}h2h;Wve4DmzN@s1@#7mzt5PWQkn8O&+&924rOGr+D0{3t@Pi(_}7i%*jj z;M|+~b&SJ9LWm&Ywi%_WBDVGR)`f4oUh|WmAh6dlbfp9kzlWu|GVAr)t#HRV)}q)q zu=T|{SWAvUm)K^$qt9ib36E6Ur0?%B7*ZH{gaJ}w#%H6GXMzx*iT3A78Bc(11YBRx zVNPQ}>Pb0y_}d*nTHZQQd#+o&y*iL?;CNJtDDZX>&xP>^Mg>??nhl2hf`XQ474eXXi3t#+9Oi*&0CXT> zE$k9!Tag6UwFa7U^7Ap^o8<(G*NL6_d6(%{i8Hi`WgfY9G8yc*yL)^6r>9LPT*0-W z8T$k$WTo)SwLcZ$=Lf?)qD+;fzh4}|h~lf)^4$g*%1VSo-I_OSyYEA9v7DToM;R$1#q0-M*T-i1h&Pw5o(J{7jqkK zK?9;iLD*m?oBxleN3WH6q+b)5m^?y?rRpMyMMYQ>@`d!N-K=ix0d zHY3KbC~bsQx>WX))5)9o?h2Du8om|Q2Yfw%) z^KsM!eTp#IY6`sZXStVfce%UA=V4q<9J6lcZMHyhj~kuH{-N(i^zv`b@UcFJ2l5T!!EY?9m zTe|+jd9HjtFaH^4^xY28QjHljdoT+9{^p-{?M6{@y7_}Kt@YKPTk3hSih0#;_#}8I zCEs;j0@!g-*(APyc=7JH-x5WI{QbviiT>Oni0|u8fR+-%FN;y(8%tcch&XE)zo?@3!=gYsz9Ev9TS~s@v4eX`vgdtB1|W;QJ{lcV zQ(yC(CE>&IEg!mKEa#OJA?iacVV&^$$|KvS4`~yR(5T8bq;Lp2E}r|=(O+IZ#OrfA z^i9c--cB6VLY3)b()$U|y{?@e8~=@}aIe55i4+Q1To3(y@7oLy)I_cJF+OkCOV92lT z(D6Lsv^TfeZwqkhPb2`iYI4=wzer;XASg zAOE`3)$+9pbZ0xAOmt({U*a$hG@bdp=-7)Jpsw1?RZ7Zwok z1cCS=_;-p9Zpu?>inO#c&Q(9sA-)qs&Cp>%&-6+k=FeAJI0s)Viaf6y(W36}S zG6)bNFR$D+h3w(C)Kv3v*aKp9_9kU=`VeRU95@gT4Ngy&QE##(fp8$(ei8kWpEleK z+-5ix=N&YJ8edzIBYyn(>%58v8Co zbY>~3GW=|N8_pT#wzNJQNOTix2SOT(20DdJpFJbtSH{}3bar;8Y*Zxp>+X&*k4t&h zOc=P-W@gI2koxqwhG+gi6^{19+FJ3R*kDE2KFhwKj2T^P0R<6cT#SvAtnVJUpsA>- z@7=rifioGQ-FxrLla41|v1V{wSm$XeWRvUaj9&z#lY?O~SWl(oR#{nDIYF%#+|iy* zRO^;D*L;5XFdc>H_zT_C*RV-C!_Mrt7?$N`6jo0Hogt>M+<~2X&*{tg)snmOJJ7p< zEfW9!4GJYw6O%_T=B+DHASy{nN(zDiv%cQX+Vzu55fs6}s0JobSS5>GSG3jY3JmhV zZLDJ40hOX=wsrayCj^O+_cOyOX;yk>cKt1t`=azw1}{f{5S z(58NN=6fN`Qn%Uc9tO(AKKJ?fqRb($r@LE|%Ia{{9New%P!K2A)-s%)o~CTv6nPn| zh(%93_=7?j&+Fw&*+~M$ks^w)Pj3wiXwp$*S3_i6qOZViw!5#d#PnY1=L$)#l}a&* z=QjlR}2ho6zFBf+tDrm;zhAb{YJq5p@wi!sOnya&9_ygcrN} zHYqGI=DEW6cSQ~EQ+*B{-W&@>3g&hu)PFa4-hF}45&aETi?|#y z*->6vA`_2AvaF=s`mNxbJO_rwg*rj^A{;CKO5z5S40VtBrsH=+-fuOQZ>lB@YSQw! zd+U`-H-iSrq!YIv&OlU(PQ78JW(+0Kp_A7lnYCWg?D%`U!k?)0Up6Hop@sdH(E(eW z^w!VD6OqtMBqd1}s8ahH*3D{4mnkx0ls?xrG3}L$k8U}q%ARm zZS-O)GFQOkd6}M|oxCg!SwG^!t@mR_K`n7ys3I;3O9CA#UYBhZYonYNy9T}L>n?3p zhW3V-(|#iy{N4wKS&qxC{yvhs0Ye?TjdfySn@NEUeEh!Gvzm_iSf4rlXrOAQzS#k= z_@$eqS%7S-($!xO=GfX2DTGl$+<6#$$RKypCdzkwL%d zgcJ2&?%Ib%qYU*yKGzTSGbh>#h6rSkBf3@y8d}<)XRTMA)>O_fn)9&+IsIszZVE5Q zu`={6bz7JDl8d(^xE0D$qr~&~X&2MZx@VEemPCzm6wA<#mJQz&M&3aZ8GBL=@Un9^ z3ySm8O_82DYE~~KfsDGkD9w=?n)tf0*-w>%Y*Wh1wStY@+_%(i<9lBZddI2f2c`ev zX2FXIXoy#ji@NYL@;>J)vrs*4H2#N}KSiM|>S<{f-S6o%1!04+s{RwVIhsx#^nP0& zC&fj7%5UpT%V)=ssJi5th45qxYVuhhs1-Fw(a|+9De&o3KE8`=|8~2T9O>Zw8KuZZ zmp52&(*KfCs4A32Xs#%Rq`Dv|{RKI}d*w7It*992t=onJjRrn@YiJ8^S2J*k8>yIf zDdq+`drRFJbJ3rJZCmr0y=GEcWDQTDpo1!3$V{q9cDPzh@xZKcZrMse9P;v&IcBeY z1R^U!ZJSN;r}A!2h#K~DX7D*rMW4g)sJO-c-4BW!J(qFFNK2<*4(`2M;j=a-*O83h%Pm_1WgvaRE70@wHGmY_LQb#nrjyniZ;tLr)-zy^GitO zQkTd%8CrU0@PCJ2%KhJ3fVNZyUXt(+6_gVD{cp6}e*C0uJnd(=iW4`gk>$wn$kp(E zWNdKI)Yk`>mMh2*$XRInF+MOfp(rjWA=paiU?lX%xX6o+2L9GwBn_nJWYEXVjEO7; z#WfToBIH*O(kBVDO_S<+sG1)}JZo1rW_xp#(V10X@84ij-5gqAX6k@{l#GKz#TdxB zrC#*pAHLt%%jWggn_8R%c~;=kcoiRAe(s2K`TkQ=;T!n%GpZHDh4^-qB^5F(I0sdP z6^X}c8l(6%;`%88%nCA%oC!%c0+%#taKgSzU#YIuOAVI2idfGxXyATn5-yukTS?Ym z)>*mD#QbsG&coM=CZlh7TkVxzeghpb`8@r~R}RMVv)wu~UwiaQMA`5bwO8>I^;Vey zPkKGhYhz;g^hZ4By4p)l443QU?ZhfFnDq5HyK|+bH6(I!=v>^c;lFmWzEQHE(&Qyb z_wHO!HAor``>6b9171JFY8K(_Cp(2_IE!@~>M4VK&uwsQK!l3w*wa4SWQ;}QtY*8; zDkAZ5UiXXR87S8{X$oyB5(G#a{Zjhke`-LC@H0L#6fU@Rw=28Hq2b(pGJG-b!x^`7 zpU2UG@Y&gEPf9F%GkJ|I?sEY=c1D6+UQd*w!J!_DCB@+VsrS&s8DC>iXlQ#e`Og*H ztQ#~O7VTw7E>4MLw)k`_oOGx9>An_q(Te1_bk+;GR;tqBh6e3O0ffLCLEAO;`xVZW zDBI{kzipZMd8_Q3d1{H>`h|vyD3YdI43WlyRWSBt)p$D$%Ib&H`EMk4sYhiQL>eky zk6l3{Ns;!Gs)=Hn(H`?@{K?-L;(7&7(uVeI!s-4L{b?ap)SVBeiz(vP8QW9{Rs#qf zl$)D>d=_^UnF&<6jtqa5&+3^Uh_S!$EiU~}ym6#q;qLC-nf{ZMeI&ss!QCy=o$r28 z(TiWS+tsh9W`*zkQTnZM-`OKI4XfZtgI5Ur@(ValHjg?N3$HWsX5OH3RI1uik#9kh zBXh))E=rR}4j>w`yJ};s48>`bv}s6L(|U=Zd+l}EixG6zO`hYG_f`s8c~<7Qk$(`$ z+VMu_`SsT2l7)kJz9`lUn75m@AhSlGzIFPNjk^% z;|Ocj*8)T$E%_`*d9RVBnu=GE^TUyR$}Vo?zbWe^Z;8*X;f?ym5;uG$H08b0+aBJe znz}wj$RvU7mgJv;#Iiox#`v5#Q{C(ov`q&QYs-fz5>{+_IdWo(LSFQ9O?&iF2)gZ*I<{f3m+W z_%#}p-6)7#zrcA~fmy$#P$X7G$oC*5#q(a3znGGShiSTz_Q(Ac$qzx zQlE$gCu|>Wkp;63{Rsmj(=boGmu*o5Q}&t=X@ZuH#X!0v!6 zft2E<-GS>2sYX+3!`6hcy3q>S4?c z;eVwsyKBwQhN$~EFGX}AXY%h%Z{8$ZncrK}t^Gzbcf6qsYDMAO9NFTHa5-H>nF6Um zp34wan3*r3I~r2hiRDt!%wd+p^maA&?5zcRN=6zp#&|2pZ|pYGzY8=u%GOt0D`zf> zTo>Yg`cA!I#T6(RBDE)S&Ftx@`OQL}OhXsl`B0EwO@s&2DGsN*Nqtlwn1^cgd`Cx( z5xl}3^y<`hLVU!9yvCS@QK$3gWC;vTcki1B=w%W)QGGP=HpT_xIUn;s3#~&+d>_?Q zmIC_%S$!=6B~jvJ3)+P^X%F|7%Z~hNanF0NA+XQN{c;m-qE9asa1(-crXCI5wwu%v z$y>?Kt(c&aQN9pnO7t0ta^i)Y``nT4MSslGXBP~fk~XNd;bK?7*Qx?m(HX8K5MI-* zUNEpT>}2tNP9_ysZ(WV+n6GVq+ulGT%wkhl|LP!0=Q+{j7#>6Y<6oh8$+i_5D^EWv z&1dsp`{Z513$>zaS;%ma!WarH|Hl$W&araBh~JB!tC ztQHeE#|q$IZhxAN!p%$-q$kws7^%`$bmOoa{2i*wUHRGk9`loyeBmNJ(pS2u8)F7b zt!_vftElrCWj^L7GHb$DUD`(2bQHBb5#|>-f4`>*9ocegyKR>=rhcR%aeP_!$)^z+ zo=iPMS|Oby=X91Rq{Z`4K8gt0RS&jRa8)Rb(cZC-o@UlAXe#&eAr=}vg{B??w*Z@) z5i+YDLaUEH-&VV#l}Iu)KJ1sjCy<4v`S8<%_d4Nh+ZbOU)oJm+Xr9=`d3xi}6tKE{ zq((vLkJC|H`MOMrwZ!46PAG<##5&Pve=20?+Z!5?2F3JqN4VO^idQDXo<2!m`8OJR zvsj}qDEC>`7#SRgludhGQfY=FFt^SPe^Z(w#7kXWgCw3zl8bm?Lxiqa!5Egf7#E%p z6Vr_gZI8ZRp__M*c9@dOBdt8nHGp_=aG|o{r+3v)k=Y;J+!3*pLO(ly7D}Pj+??&( z_DI)#iq#MWq&t^je4wwng%}own=I{ly=T5_0>!)HE5www(L6zhW_d27EG;wM;cyny z_HAg$Ug%Dqe>OZMnham1Gmw~@xO=#9?sBYpSlc33ZklWeUnUeSm_oWU*-kee7+98L zTIrljy6Jft{Jyj+*En`Pg?hPv$Maa&zjZ{>q&oSS-|=p0q+yZB7-KgUuOsDQ)rUdkulTN44&G5_F)DT`IvjGtgh}y8ZV*MyTg9$@ zE|V$Dh*Vd+UK79f_ZI4p>J8z&yEFgbfAyOy zYKWc6@1hU6z!5)T5ti|yDnE4a}oa7U2|P+<_=S?w0Ecq zdIZj88~DE~GQ^7L7n^cI9Z6R>iQjMyKUm8EsjMcJH$##||R^p`9pm-k|&{861 z3Jj}UB4(Dm&dkQ!C-{*wW;Zxkt^coYi~ZVt^0(FPaR1-u@ZXd9KR+BSHQC1K1x0@05byDLRNj}$6#hRC)6(@+!z#CFxR*G7AXIo48EF@uqVT0R_mL>c zm-Z_qsnBY8tDKLN^=AB*B^6~~(_`)0;I|W(7dddg2prep6X6s^`Vw}mCM@s_pm-{I+JCqFaQ-F+IfUPjMxeB=f#p#?cfM z^y8y>uaNOx=|X!qd9m(<0L$qk*2FT&|K9HZ{?NxJ1vf4_gWN1(HIycbSt1t1QID=R z2SU#ZK}T_t=m10(zcV`8dyiy23kK&a;w9|6njl>VM&r0RR~W>C;Z%Kc;1%EB#u4J@ zAy(*Nt$Z(}z@w}1wY;8FMirCSR7b=pmuK9mj#Q>rsrUW&c2OtAwf`~7rl-!cHE8PL zE45w6n|NzQs*O=SN1?RuW3Hu5yfx#JUb63A>cpXwmP^8yj57J?|J-CzWw&JCWlW!T zC3-%{cf{vVWo56|yGP~8*f$J&5{bla-};ViZMEAgo33z`a>K;JWVHB`)6Kqr;)kWn zeO^3;^8YzmTo!Vo=2>Uv==L#bJRDdspxg@jjbVmn$mjx$yWN6sAjOdf599+)|$L>>Vw%-L%F{TM`DHgFdpfkGi6kcZf@OX1Ig`MMR ze1i%5<**E!=GiTSq^_qBs)6@hF?xFq*q4>QSXm=?F z@;0PHH@uwPbn^$!lWK&*9ASH7^tYl&5j%-_T3HC-YExn;#pah54Xq7VIU`E@jNH?(2! z!}2e%cBp^4j7eIaKWlt`b^9>q)%7^b%g&^&&QZtnFGPEWh6uwj>XcNi&X8YkvnrW7 zoX0KewBi&qj{QekX$+xkW3gU-04i0_7md(g+}JfSLC&R3(qBA?8tts&GlyP{_u<1Nm!L}#4tkVT244~jb0QVy3g z^Yr{>2f$9z!jT`z479TJL80bG=-;_k-dvIMb`2aELBFh?Pm2^}Ffi>S>FEZf$eiif zY@|hUN4y^A)imeXuH258B}O=t$e!c`GH?tAYUh@92lhu4_P>zMN7{K0t{wxFRWxYn}{EB6J-SgJ-{KW9?(B$Rxpd1^yj?}!=HZ{ekg3cpZgfqf8G**d~bAS}yKpsM2IqxDe=Tezi zf-k9Bl#@Ea~}- zPQGqhFz|A73W5~Jd1iFVUcAwxBd-H$ueZ1{1})Y@YRrQ4u7mas_`mGELX=R}p)A!U zsL4R>CR7&^E&^95znco6%*MY7YHRluy8L(z-$E^P>7u$!VZ1{YYuI9-OCEGoKu3s9 zS`B#!K6!{tk7uc#7a`8fmM{?8)Z&H;70cp<<9IUeYGhNui0l~~GkC5o`bEy%{0>xH zI6@1rhsY>UEj{QTV8@`~ayopcbNF7xR0q#dPr&v}!gpHQ^caUJ;ezfK_C$x+ zgOWqI--G!2M7qWH=jT@><*(vQbshD5TxynS!e^yrb=o=UoDzPbbG5!00pxRh$@k1` zY_xF|r%S#HGkjNx{1!Z++GWTjLVsmebM~%+WGXu+J;2a=1YW~!dMkDN-4FRCR5DJr zQDFfQ0&Wof6&|$eR{gqwHX9`9T9$Pha1aMIDwJn!vm7Bxkll-l@%!=!z@5))TY+h|JE%U z5^f%L&el+#lHK5QRd!7w@OL#ZBGHPV)HhpFSlkkeG~vxkJmL8__MH9_3|7wU zXD<|e>um~RHS}Y%pjlyf##Tb-o2GdM!Vpw{a_ImCXe(7qldC@dsAsOCZ!}{F_eddsOWJ zEddV9qlbA#|D9|_aT34Thqa;6W0+uWJwEgtD4Z16(zuMtqejwq^hMl*BT~iPA|%kp-s=MplxUQ|;6cR&z*CcsJ;P?3@^n+;2yyu(f4Ku6lTj)!}PhrISp+0FkZ%KLs09 zk@j%69!(Dsa^ZO=x8(*9_sCUVLpAZ8mFz!KgJ-D-Rk95(gAMy)@$OV z;sU<&x?A}?XStU+owtnhbrn81QV#hXUp_zN4DEQeSEn-AUWkeveLvywXVv`SX|GvN zM+{}pse)U-E{^@wj||Ngwy6j1^l%<6jYAc8s}*#}r#_kWnJc$#x>e*o$-Ao__O5l- z`k&qPSc`qek9Bj;c3a&mQ;p{=Pp_RK!Kjjw={O_xoG7EDvH zZM*IT)oVBz-z8HJpDUYvB=pM9v*06wo~Dml&oWIbnPC;^(G+8De^cFJJ^+ms2&ypd z@RV5adEj5UI76+BE6ev>HZ{OpcBP>=M=jW)yOBv2&xPNPOV^@$AeGKKtlFh+*Oua; z{m{TbhE31y;r87ALMLI8y2-*2S+_ykd+Pkwj*f$x7Unc^J2R?iV?Eu{0G&gR{8$iS zxR#8JjPO&|LLB7!K}UR`w5-e~RJwh=)(Z^>-X04Zz{CLlV~5l8@w6;w?jLRu+Pc!; zg?iY(oudZG3+$%?ZMhhzMl0p+2ih7=p_bo9*%minCM=`5QCMahW5yQKT~ta%wg=_^ zo^-V~Gg&Us*g-HYfNuMo8Dv?eS2sW_tAZ%hNrmaJQv~-RZNTE~{C}>4>~p2J^S4}K zj-eMh*ZSoAqQo@Nw6g7i54vsizK%t==MHnkGvK+643kCO>TS8ub|U3l-92QhTJPV~dW|eT3cD>4EwJ zzPKP`WPfSE`>6f#UUw$jAkFRP@ zis5JF^-+;z@Kk2{e|B9T6ZtIeLtANZ<;#~ZL)D%oOz5j%n&KqPkDgN? zmAuL;C>RLrmRs>1rkGGl>>Fl8Lgi8u1wv_8eto?yIOO2nlKMkJr z1g6(fT?<$`D4gHxg+pBA89nqNLU)60oL(^$6S2AzZ*O|BuGGxTOF)hdtyMnhO$}=H zy#^EW;>t=>OE?{+k6)3st2A0r3;>|NlO*cWt{)wvO`9$fAq^<{XX5pG*Zzm4`W7~Y zMa}yGi*yj46vQ9HQ;KD)w6`lHbXw)_n- zj&@tg%n)LU3&t(g(^Yji36CiVgEFHUsP~RZi2mSS~Rv0w4wJ{3OKLaw#R+MnLgi97+g+hG)aYvRm{3_*33^ z$XeArF9>2bpw4P*r3UY8n|(@1z(Qq-X)RX<@+nsWWtfspu7$e*GZ{8%pgl9`h~o;a z1+6u|9YsFIuF_b~%(EsBg#bpMu9`O&p_HVM6MYa!-Qc1qY|&p^lkHINlRorj0GTq( zW(JZ%|MP-PKWQ0Xv}&4?{c5+s>L&|xpX_Z}Bfe}`zJM<^5X~CA=FIXpikK~eUU-E$ zSIm#i<=jG$+N--x302(=RM)K%F2%%S6SqdA-bF?oKBll zl1eHn$O3y?+eYkXR+X2{APxq_AW`o9-Vl&H69Bn5%I+Zp8K}lXP&!JDDGwRAATFjL zRzR&KyH#)hWprdNKQO@y!2t!jR(Ed8HqVhW@P!cE+=>PEHZ~2Q zf4{a)h(wjPrhb_1Zq6GB&|U?$6q+d)CZ7jxtB;DfvchB|f_zJ6I~$)&n8{8^O;S?o zy^|_5-7ET-=}vX_@)#hFgYTtya8kQpe*9R`rtjd5>u3MHCL#`X8CvibKW-%d_4te^ zFxK@IihMf9E;{j2dCz^?e(;t@|6Wd=-T9QVhYG#+>39I0I}_TiW_d&0>r4eD>~6H@ zJ~_T)?qM0iq4$7JJcuNzrXtlncDwRZNGGVR6u9i)X3jn3{%Jr7bap;+8c%T=umsYnjxxXf%lY z@mX2Zs{VpY7#527IHAv$LIAeZjesnp9Kp84|A)Ul{@DdHy3h^W*(BdVuy3lp=LwyDd&YR?uusx?G{q<@|U!V4Z>ZqIL!T5=igHv zxdOJ$Y_PyEst%G_+0?^WSuObSSm49cmIp6)3JjXSbVy9KCg> z>Mgmk7k$d)aTv2?Zd{lcWc7Wv+u07Fu_VuA+-oKOVBNhgH(14nnv9E^n`2}d0JO~j zfn^GD$9}x-)Xf0?aOgpd+nYDEE70oL1T?yg;CU=-)bW-65v@Yi_>;14@>lU;j^H2; za;!TQobWxiC$NLlte6Sqd{F{|RpxzgYpdRBp^Ne+sN{hIQVTjx@xq3ehg;#DMO|rbGd|7j_h$dCf39eE#XuKX6mp62cA-3@(#i zc%w5tsC*&v6o=N+dFR5%jN;6px`hWF#{4D04i%EWQrbpO=b3WJA8IP4dWbQIL7Q&q zy)W8A6n*U2$GxSsmC|`v#drHUh9-HQa3MQ{=s-_zio5ShwgowCbn|1vZseAy?#umk zl(XtBLiR@F;p-Lqv0cLFrl?!jXzj%xzQ2GwAr?}(63ax-e2#BaN3K=zV4)sLG<&+`w&Gv-A5ws0`jUn@d;d>#=jf$G;zO?aZz2 z2q0@O&jS`%otZW`K?E+F@5wBq`45{P^&`#CQWR6zQMg#>9D8VWeJ}ADc6q?^R$0e7 zs*D3an&vP=I)oUD8_b|N2&otL*t~`9ATv!-YgQ!FU{h;G0CX0XoPKj5xO7{vV9n%Q zDu`NL9{%+#!|KC$^){2^r0S~=DtmXv`2qv~`kDmG_MKcbpW@BS5Zoy(U%?y2l}k00 zi(54L;BW)4$joL;4c)HMp>U*9YY>?mq{7|VLrYu}7&5XWMPaUSd+yVZ3*lj^NJ@{8 zLm@7VQhAAB5ni55*8I+4v4UcpB_&&mMTEsBOjbFe%Rri?b3a^{vg&sci|Lq;dm?x@ z+N5LNB~(K(Dv5=1CMmdU(D>EOs+y|HVLQRb{D_&l1j=K5CAB#g`^^!%!fA$$hmUue znbFs#BoTE4lcWn1Jv%+-O%&fT{Q)!H$~Pns{eVwwJ1Cl5a$Q8k+bMlhG;_HK+`Np1nM&i zJ3WFgfEF_iF>iPws!Z9DyEf+uD+2`)g-JGQ6Z?T4dB#|ZVA%Y1jsQ0?r42c=0w`E| z=-&=bdlb`dkrl;mYkvS8>UZI;s;i&E3{{T>X)E*qYgN^Tq7t${Q-Cs7>o38wuR77hMmL?hP!+-OnR0t3dD&y6X5{H-vic(&Ay~F z&FwL40Js>-W}f6RL!`AP0GwdJL{do{wyQAb!PnRFj>V2b;4l~D)W872f)-~RE-`A3 zU3v)Ii7hyt-1Y67baYKHP_aB;j7L30>9#Y9Czfsi>1Om58+(uZAq zO}tp93gA=CC<>1ECbCNfj_Hv2;C#bkT47w$^F@ zLhHH856Cn6-~(|R0K-NN96}E7SDFPSBqE=F^^}X<19EmsdO881zw_rDAvIh)D<__$ zGZv3UWgf4V9LKV6nQRP#fipPU?d=uHC4hrfT!FuG7_4v|6Q@=Mw}(6 zj=$58ZZjMC>}mB2Vu_SJ0|Tsgiy;QIjjL{Zxx1)Vy*Hqrljn2%51WKkw}25b zcOmvB!cmKbBejLzZ!nib>mUjq%gGf89c1)DO&P0+$VIZ1c=$f$v6vnvpFlrG@OoD>y+dSB56u|2%7psga8O zkPuDoWw)JN0>YC9g=c&9Ke$6vlV@v_%+D!Jd#ri#TS;?$jP)LBW?S;z;CPtkR@{JP zH*1BHhhah?IkO{yQw;?1P$r%p_LuwXm^+bTOgAE}&BLDwUS|Cg80li_VeNd)C@Ipm zA<A|;`VW%itNEXx- zx*^pOW&Bj)DGz;eo)si8LsK5Ey}Un%*vZmG+bVo5MSm^RZccoz7&eOut4T8Qs(M$PT8C!ofeQSydJ4LL%_)|E8k>66jALLGVElCN|jG5WdHUhK8)odk|+h% zb0p+iRM8ZJg!8)0BDbu1esyenC|`XWh0SZ;k&j?U@o$twy-I@r0gkV5ZtoQ!a4D0L z_mE$Qjv!c4G%9RK5g}Llt$wV(-P{PX2g2u~$JbDn{V%38n8~Qt?QIMpeXH8i#Y$sH zR=JwKIoRKp5^Z(TcO{v-7;(l837kGmR3sNb4=}%eDfO&$B}o_yi~dlrgC0lC z%Rid|wwlWBu+neT8p)`$+Qz+aXng|3%Qkc_W)(2^p*KfM5r?ipWQ^R0Mx)qB7=0WU z7~8c#=`)L^)*coNt4N$`ZoxOi^4sT*jU^ydkY!2?OcxYCUvqrL`SH)$W)qp#LUJ*h zx{I>~T?hTLEXSJ=_hlyJTAQ<%`7398Vp*0N-ht($^GPqyPxq0l1!Kzv$TgKL$2+6=~}oOKO9kAa|~%!DN8_+A>p?8QkpL<1^M5K zsWukt;zYrq2-i{++7v4c-m`(-t^3ynSB*HfRiEKLU{Q=?Qrt!-}juwz-NNF zaG6(#MUaIK-R651Jp*)e`~2h~S=@iQ($#iblHozanzi(-NGHFSYEm5(9o@Dx%6SAe)>CCelWnd03ffK zTR4YEj8B6wIuD)SkoS~|^J6R+L24p$`*S{0UJ2@Xx)NPv?Y6sU={ox3GU9<<=5~iw zi2{nBrwoR`Vv#GNMEJ-4GstET+1;*I{dXV8;b(gt@`1X#oC5I9+ap&ArUV##n@H}j zMwQhjpEdrTz^?YAM+@)d?wV%)4!nxIzbPna(y7%UKPWd#B5ah^;m(`c88A-3T#$S( zy8Z1={(@<1Fa5yHjh3^=OLs=8si|`tmkHftlw$CZ4|WBI1lecGiq}j9n;i7Np6gTV zAz2GUjHSzOcOsyl&E|~>FDg#p!2{&D!?v*IN#*eHaB<^)W58u%s&HeMfs+&OVVSOz zlWK10<&X1ru(HZ{mh#lW;YmYEi08bovXWBFX#}W5wO{GyYbzKS*g9KR9?PcEHP^=L z4WaC{vs02QNHJA4rA5tad2S=`^&}`Z{`EGJZ!mnbv>&TbtD5L<&@(WIp`Uzw>}zz$ zEtGhS<=+jj$u>b~8~Vk+G!I&-+C3i4#(z#P-_gq_#cXh=`#k-6B68Qgu5Bx0=8log zBtcdXR8p8TWx9*D53BvPY_|l8V%|o|Fh!Xk*}&|;j+$Sm?kI*L|euCETLV&k; zh(%CxYXA8RMc8`YcNVSm_DO?3p+wm>?-F(Mx!19Gb^trG@tt$}wPT91f8kJb0Xxm* z{bZ^z0c+IU>FA7G>J~CQ-HklpWPiJB(MDSG>dzxBE~>5_fb7@YD-^2*mHxB!B0Z4L zCVPp>R1T?vwtS9l>Jypb_FrtENV(|1?s z-+Si{3&GYs+DFUZ%Hy@_w9c+THLmWMK;7S)jdNCMfqyuL2ieC=+L%h`6WBG?L1 z=DCC@3uxyEXx-Naa1GNx%ea0WU&0+IRHLBZJ9s3uW4}Y^%-6HV2ogwn^8>g>fTTz@ z1CNT^w)$4++HKBNsKtRFJ=$NN+{>p@98(qcC$FxK(Knvap=#74nDqWH-lkefs&~A> z&3#k4+22fxpN-ePX@92vs~xKBt3O=;vPc=uNxWm>t^te!4TXkTC*c=pJv}|bYe${1e0z@!WAIHzWC56$JzZ6^ZGXU(3$MC&)(6y{H-|hDs&( zr>h_8RHEeYg#-ldqWHI5pFYi;K^Z3|=#be#VwOD4d+;U~=SQVD`)GjbD44ymayEzZ z7B^55zQ2{#5PoKFpHH0or(jU=ba`zpmf7`BM2yBu)_H{9IIJ<%L2AFr@n7o+wI!|T zVOe+nvOBenz-s6Obx)p2Pqju(Nr}dGC6uiNm-6Gw;C^%>peh5e6czzxv= zqBX_SIQcWi* zA0M+!ey|)a-Z28wmf7cTrvG`1g*A7)27PQEmC&MP2(`(gWi00lY&sV{PM*4GIlT5S z${fcuWC7+-{c^wlv9Ualx&lzO+~6F2CWyJO(6sGU6Xga;8o^Kb7B9iE+Yr3_Qj9&2^x_V?q%r;%^Cl@_OOG?OmdkF}2 zX*;SL{oma!wRez<{e_*XI-g_L5e^9e13rH^nooa zW4(5Pfv%e>4GqovB5R$nwnF_HFW2FIPM11sU6;whJl)ifvVFd`gCFXon|fUe{}kNW zPl*Z9DO|CU?tMjif7PX^?qu{^4`F;r$m9j1^$L%zmtO4;yh!^T#F7f>I>zU@A6%mP zR24Wp-FPmsAk5|&shwSsp*|4vQn6Sg$m%TfOZLun zZa|H|GEC4Gkti7RpRZm{_^rI;!$044bF>S=&h+$jPSHStp}t^twdc;?oFYiBchpL& z`qtq~c4L6r#&KLwV~ZXrG&XhFPB)&3lzMBS_{ve#>ZOEyTB^N;d!1uaqnw}BS~UKwFBWWhhRa0)#$qq4s3AotL?vp6)}} zHz$d%D+96lsco&uk#ex;EYiy-pB%Zpe4vS0s=BxO5)Mjl_^t~51fWM`3mIu>{9IH2 z-O>yfnB1`* zb(IyX5U&3CMj%D0?H=V8{2<<1pZ{{RX|*UrkonZT_3OO5n)27g_Y}&S1YRNgmQY?3 zSPMLNKdf=ZOk`@W@%4}))tO%}VwUqr%>EM(y>b2CWEF$o zKZ0krJ4@i(VpM89>r&~|rz`~X+D`F^z~uSaei%36dDS>JUBzkI!;Opht8-6VL*sfu z-IGdC2%a)JL+sZMX-2PBVwbU){VQ{)>{Rv2VNwB5bA%3E6G#vt7y zTWDP8TQlQf4r^fRYy)VHLyaWB5D$uLi)Pte4nXknRoy*;ESmcFe^JJR0IUH6fMeOh zI@s9(1>nVsSP1Ld8rM5_%nXZX57KcGRc5PYN}^r@nPS}!gaf02SU3%EiL`c)z&FAW z{Ylr6&S9+L8X!+Ny-shsk0rBvE!j5#rzRG`J_a1L(3JQI!Ro(>b`+;d&#K%vv{(K9 z9DDmLJeX8N$WV7L*;@`pt z=kgfOHiJSJzd@o=9-tUmp)O z6TSy5f|u)+nYMWljDKJJOAUSH*tF_bx)ERY?~s2fw0@n18cY4|h|S>23Mc{`1&o7! z=QI!EV6(tfmat;Wj!En9MwNs<%$=dh)%($4!8Z*l2Z*U*ww4mg3V&Ein@)jU5JRhL zjNiBb{X90g|0jCohjx7r^j;2QXz3ZTgCe)tXoWhr%+GFz8Tkds@F1p%Y+xB+ws4ThV$4Pu*?L61cv0GwV&!>4LuH4jL-E4Jy8GCN;ag z$OFjZu;js@paSxqW|4Ko1&We?mH$kC@&%lGhrV$w-+jVTV3*?*Ztq=B!%LDI)+tt_ z5tXKS-}zd_aqTIj09jiKMD=N2;>6rcEg%0U8b}>zTAavBL!U-HyV#PdB2}2&Y{j`B(5Bu^d_twZ);R^h3A}gc2f@C9>pB`~0P~txb)v%Ezf9ebeu{Cf~VE3;P@b)@IJXC!`bos41)qdMp;M?RbZw z`tZDh{eQ9b7GP0DUHdl%DguJUkfI_WF_e;uAQF-i5<_>Flv09%AR#CqLyL4vgS5nm zfJk?jw6p>e-#R|;^S%EsuFK0y=fKRFbN1PL?X~Xvx4yhodaJ~UL*r0DjtQ^eQ!S9@ zkMA&4AllMpF!?cax6tBI#}xLigSdHrPq>fiv36%3x98loBGBC>Jo&)-JreKB)deKo zB_CF{wz&8OE^tU6Zpa?)b<-+Of4E;drZQC+Nnu2oh->CyULu3La8Hf|P~Y-1{CI|! zZX;b$=JvirX>knl;DMh4jOD0=(up{ zc%}YWer6DwyCN;haJ>F}54VFxl|Q`mKH42bGH&v}ngCL?5n^!opvWl+D>Y*yyaK(p z8W19pRXogDQww4zl{1TKoal;GRwN$?eeq@?VHm#n5~eUR07exWN54RgfBXsw$g4<0 zD%mkFpiRv}9!z7^G$XX2AFy1Vv%nKbg|!S6itb`K2wKuG#z2J7{fR*8q=9O;pq;LPrz0XHnT z@{88fF6OAKQ99AXw<%%7%4INro*wMXk@{FRQ@sJrVk__ zKA#-_rNkG|hNoYhLJ?~40BM_?2Iyk6S0*qj&mdV7W0Blfuu<9o$`tDA^PTXys=Mno zw`8rza#iswSH3NwTC$NZvyS8unvBF`wy{}i({9h=bLb<>R=$u2nV zZA>?If~FG~iwt9qEmz1i(!HT~_hF0A;rY75t@g%F&}Sf!t$ry~srT^OQ>k#+IL&I- zA5cC@5K`HTg+f2fzE9dYD_FliiZkhcnVu5sMGq2yF9qJa9Kf!V``KH6^0!`-0ye~sqi zSr3A)?va6WS8+CC7yq=d?$DaYly=E0y_6LBfBv0H4__N`s$kT2r|e zvRo>};orks40~n*+8K0vN_BPhyJqs65`v}zFN~;{=WKX2H1h}{8+X3N5qLe~pKs)~ zr4SS0US=hfF;<;M4s>oq&Oj>bp4x4Vho8>?4vF;aDcF~R{D?Wv=qcv$7Y>Wdmy8m{ zeCkN^I1i{O(VV9WgoNIgj7Y4-#Kf?kwb|y}zKF#dDkgjpHM!@fw3amUW%fA5pTa#E z2VX>k`}W1!N!wJm-%fguhl*}uVj|Z~f2BRrKl6f(%9m$z3-?NfVZq`?AT#xxS+DJE zvsNkn5%nr=hKu{)xp4>Sj7|UB#hnj_r$Zx`ah)3*{f1QsYCg}Fo8FSf$7>#qe~qsT zkuG@KCYFmkTitOn*H!jo{lTV6b1#kC7}wa-{tc7fwub4 zTkICcZ5};zR)|(9ff?f>?9zS9BDCPyas-_gWDj^aVtv2$j|Nz`Ix)U@C@uX?fu(zV zJXAebgDrwgo2+df12x_IuzRl=EL6p68#7?o%@d(tGL#JAq6a^V*NB8^2bv%Nq|TkEtflg)pS$d=K%p8F zEe*}77Dr5pdFXP{a}N{uN?`9N+;P1q(g|v%`>s@aWtizF)UXkP+x_zX{oQ*N+FI5@ z?u~a`m({M*_GT(I0fFzy6XmG%ha}lo zS_Ppv0=l+F>oQ|YOPO8PJ?kP6@qkk79OxgCldtzD25LtPvP2SaMaxG)GA;xtS`E`r z456WAFz$-B)(elzco_EO(6?`!;MJx8;+$%RGNv~9B1F9=EKC4_A?%Q{88Qp})$^u6 z4T!wX&yOG7?hnsx?ytf;l#Q|RX-Lf@u)Txbm5?8qe61@^c?hiw#9QDGz*N{LF4v?o zyXyr*J|zIaiwK1ebYPl^&q@pX$hJ-O?|^g-P8$?<>TgvBW}`=(9}{A=9sLTCUek~s zgONgU@2i^pcbz<9_YW<=>;jEC3<=oOs<&XR3x&d(;I#+Ir2kPwQb4^F_(Nqs9_yG& zU~VdXkOY?qhyq|goO`F-ax<6021@_oGG}2A4O{yx8sI4*t+T;L^&dTBnQuD(PtLEP zCj-!-IIy$|`ySosNdb3(oRZSp8NI&#H}g2!bfBB73tEO2SnDYxf7Na!^^pBvcdwyQyf*S*TMx`wZ-Hw_tfR3zPtGm|TSDjiPaPy{4 z*$fFb$P`h%LwOMrysR#eg4Bdiv6jsPEv}t8f_s%gZ)yADJ~F+u1dbEz#96QdX-3TG z{MIhHqn=y)AyOBItt8qD_c` z&IX2XNQVy=dc>(L@+;`CM#a~B%jMq;wk3@@yp1=t7b}dG?mM&nb;;4~tH_Cv zO?!u{qG3#tQqF$lg0|{DO8vWHhxLYzR)7EEP}r!r`ha1h4q5%8y&hT{OW6SL#~5wJ zm5XK{-MTYSdB*T<1=FQ!b z6kJBBzkaQE5LI~(%3~#4WtnpObeHaER+P%F^=x8oKz|!B#$58_~G>^n=!kkX7ONerZ;IG&&+JaSU=g>8C`SEEz7eHcz?S zfgbQ^>8l)xk8FN(bfocO2G!@H-UQv}Ip}X;G&m2{PE@G7aD+li5dXLBsP=ijo5~lUbFh`M z8TY5KqdO&loHfUOXnq%y&RiJ|Z&>@5Ewa^;eUZTTW4x5He!jO==sb?M0Gk#%U>dsT ztUapiP$PYqqG-P;`yfaxv!6S^m3IHAXE|Db4J4geT1>}-bnP}q>OBE-S{a;POQG(q z3#AlNvUJu-f1^hW8N9>mRBYB)xZ;0gj%>OF4;p%o3H@y;$(q7)G5-kS+!-+N~IsLooXp` z@KL+PJI#Yp))e{Z+Rd_o6ieo!8pNScbp#^zo#k`)VC2wBb-L*VNq29@dRS7_=E=D< z4JLmhR7QCq89%`-9+FK7>T(jQu+Au|>TlsSOSamBQ<8|i2dKYIm^*h=^yjF}Uo-oP zbB6?~z*bzbk)_|4!YJIZhOEA4iEs6(r&c|?>@j)0<>U3lc%2sq(L|ja-Llctc#r>@Qg8bXXh>~R^aFRsH z8TmQ;&F!2j`c}X@&re2IDeHmjcrp2+r82QQJK!0{#GBJt}WSqbt zHl@+?)kUX48H|!6vAcp*i6ob-K9IU>bj?f){9TFt>(Mc06{GSj*zNtAUugj~kO_4A z@DMV@fiN(a8yN}C$Kkl6zHTwqWei8Dww`9Llr9>!Wgac0t$Jxh4M|5#{y_1cT-Ew- zM_?=L51zMrI;!R;bs#OQkzOTE-ySXhMWda&di=O-D_1p?aez1-$~B8z16jD_d9rqo zrzhD(ZTnDEsWjm8R!8!=OxT+(oXfo6*WBbM&LtbakC}HlgEvmtwqyC;dNJ9zD1qSs$!pQp692Ib zKSRatnGx5s*dwo;>&?{UZ zuT)5@jk|{gzP3nv|4uG-$eYc6V<@^jpbOSgUwTdT)*f|ssw>k(iu*6im2t0*9|m8B z$Mn1J-7q=ljs+uYNZoZGtW7JphW#D&f8;Q+seLanw!|x z`Z-797jd|)-QOV_fzK)7jn@!2ZD+CWg8JQ0m&Mx4OeuTYW)^Z$j#+UAu%gTFs}o{{ z>R6neI9>gOE-^o^W60BP88lF*8@Pcjpv?Us+$7GM8@yj7FD|H7?Vx6t;Aa#-!@^q} zTt0H@O&qABf;G9VH**;hJW6Ef^uzMxG(>##{$9VV6>UN{5TdS>n`yASN>@hOJb#$; z@a{lwfk#oZ?B;U(k})2M&=n2S;t?8w5Q4RQ`@J~5CnNb!?&KBfQrOuom29AdyDjYt ziG%o$a_UPG?gr!D9ONA34(7keOf^|z*l;&3rjG&hsz|8Jn^Q@HNgoSi6btXJQdU^k z8fSTYwJBSBZIEcNTW1h$La)8|%`$u+OS62$1HTvGH@=w|wt$;3~XyebaZO zo$XToGqF62@1DX3>gz&%hI)54N9L`gbM3RRH2(TfQHGk^zrX6-&8;vwTNh8C(=4B! z8xp~M+PSDq{(^<0>lfyr#cuWCPB0ywC!wLk={({Wg=+U7{T{o-lBvHmPj~MLrvI&1 z?N5UfDmM*1WuZ77!&}_>)=#g!l@`E%BD-UX3RhBOq`!EbUhxLKykEES=kgduYTbT< zk`B8a!vEtG{(CZo^4}1#Gsrw*{`1%57uF$sH^I~4CYGCgQ*oRe;pXr02h4RS)=FM9 zofi6zH`)_sI;9inv%)avQGh;J*#2$4C@l2N+#w+Jw{i8eC7*kKJcOqYIZ#tdVP~=l z=A30soySx+wMo0WB2G1#bsIVxXQg`XJH#khx{MfF)syki^?doC3-jNzLjJp|dh15K z$^V=coZpaO@1f=?;_>_Dmr)liRV$k(y3S;nTnHM7`u3E_*MZRM-gDkqJk6G#`f`V+ zgpfwu*J?{rssuL!y7Eo7sK!|NNZ)-V`og*!TVKbqILwoS$s7 zWN^8?+*VxR3BiHcpVI;)?v%VWobk-{0`u+{q7N_WpL+1Y5AW0q0&{;cmQ)|Q|6auZ zeVgdA|Krw!q5#pqb|p*Ty#OgMq(uNv8)NkSbm7LScOj_SX3rh+J+TwgywNk|X85Xp zgV!t99zB_uD9BW@JuRbnYDw!w=owwIZ_YwVwD{2$)5Ux#nc}67hfPl>B;Ie?eUab2 zNdCVc{oj8Lnf=H0{hu%WS9=6ve%Jw=!UN@IBeXCEOZN9dC+xxtLWfgc=U7VceXrs9 z9*p`b+Fttojd3+xiZASW&~Htj*L`io7oM-5NSb#%{W7xAf6(~#<=okKA|$3Lb?zmt z#8<;@FD=eqLm&8G!~2eJcud;mT;0w6|Gm_O?LGg`As3*>h1EK{M`TOP`NYHX`kZ^3 zpT)a{;(0L+ywKU3??iuFn0|MDfx{mfdr{_S^%{*gN%eXvK{WMXbED#zs(9^QPCcFb z3SNZxuJKyNm1hl0b$bD(*GZmar(dZ#?0F^-n_u|3rVx|Jqm_sE-M{mo>cFU6yWtj5 zal#ARL*JdZx3^z>ImIIJoBIFf_9fBKkTel-7$c~Rvvb?-pg4$8$Uw~1FNSUF@-UFu zpP*Hr9k{j56Mbv zPRrho`kp!oXy#vEyC6NudO~#yPav8E&+wLxp{u}+Xx%OK+HQuj}&O zHSE_(?MuB%R=?c8z&*Hk;MB{y`-YfXbIrAKlH1R0F~GrB??+WK*}n&d#nbI}ED?2p zxInz#onP-n&>9>N(L?fGIqFnWAp~AP4IrY$Gb|Z~a2|{Rn6g%8Xenq!4F;AV1K`^~ z$}F+=zKq%oL9(Vm!v7x%!!4eRzIXvf6yC6f7_t@ktjop6$EOy`Y%fs+2}tgRRV@@QHZ*;J*jnv~G0!@b4#G&HrWa;f1ntvLfPLSv zERcd5G)87qM(X~93sH)A;CRwvK4?G z|HmQ#MOD|bqY+30z!l6lMGQV0Ftrk*nGIwovoZ|e(;$GJri#4@d%948pYlH7bO%z_nof3Em8_N2!NM$a>|Y+9QQZ zwKugPHss)llIzzMeBUF%RQE{(O@aro#l%N+wDV+L#84ia(X8bQKJ@N?kQz9v%pcm* zrJSfq)Au-)6swyW)A7^SpudOpm6VGX3tQ`>0nQ%yO68x5ok8-Yp^AdSN@wFWk7zf4~9U0Omwr2^+@gr zx@<45aLoQ0H0=cR!00!E$4WE zy0KY_7T7?0yrrVGqAtMr@U!F#ejJ*~(|s%1L(!+|>I&5$QkZ9AfCm8(FfguuX_^if zh7Q2Y)5+TEUWq0`z>?)NFA?Qv{KE?1hlRXcv6z{a=RT75}^)yhUv$VG#=rHaz zdJ>CieYWGBs7Si?O)|4hpQ_@y_vV#a;=&dI_1(KL_W?Vbhp43QJY7hO4J4>wpjEAF z0=5FOL@be7##;UVC0kIY!IlKB0!t)YTn*FF`#p78I?yqjmJFv9-Hp%GvQ02?la%SftrDcdxuv8z*tl7&ixMhqE!IO7=@`OdJRj1b5RkiHJS zANf^Hev^GwJDJ0r^Pn1PgsY!MCs*_>f5zHZOA|HaaHr;glxrKA%s>Jh&VII2`tI&^;6nt+C#0KOzrnCz3eqUC0 z2h;>f>vJG%UOKnw8)I!*0BSWgcB%B&2nU1k)Xb)v3doRS_<@TxD34_|P{E|MngM zAvEO_c$h&(qBkSVekiqN_70Fem^mgR?Csz-!?HpcW%;N<#X z!dXZihs&5&PDwe|3x!F*$8u>1(Q|3PQl$ezf;K+d=t{}eCdrmp#%PMSuN|J&1RcAG z2?Pj$dS1$hk-5|7jARmxdBZCa3$Eec+Pw&Hh2kP7cMUt0gx>0F(Ilnly}{;S?xG#0 z9e4nEsL|SaD)I+QW`K22W|hP-w!$tMNH(rPKH4an%pd~~7ihSns(4IAmnj_98`X8A z>Scgbgh`a;djMWIov)3NLWu*0V%QcHF7s-H+bN%6{2efKF1^k^{2zKn=!5o){tv47 zL9i|^M4#a;+BjYK3%^;C#EQm*y`Nb7>!YO3Oz0VK#*XfspZGW$QZ>-UB{GFRF)Q-Hyd@;qSPIafb4<)-;(3cg^C@sAZ@s)R_O z@q9M)tn$oEoKO+V35{$iKG`XV#S|M8h)!qHDp{G}qA}X9gfH*J9rd(EQ0dR3%S_F# zp;+nJRGl>dKrtfSZpz3VfHDaRx#ZU^j{K^z7B>ee!58Z?YqBUOjY@Bo4UStE{!tV( z<{}x_9XlPpHn#Ba)^R@gtz~>{9lvM9Ht}s|&rpgE>zN(}AQ=Q}z z>khte!(8xM2%8q|^=e-b$I|(^VT*pOTsm(DMHST{Y8T|*O2ABOBEe}K z%S60eh$OaALGD)M63q~C0a5}$6%hd*7>!)@NDPZzRunvd(9DCMa!01;9gEftrD82K zssla3SWr`M)6dFODIMAO?&fPq)a84F%U!SPrGVBA3xr@%^>USVpbh-;pz(@x0p@(4 zl>VkOFnhqO)=^^0l%gZJxCw4r#mCbjt8@A1L!gSsSm33*{r4yiv*e-;2#Q<(Fca*> z>TNx$*Pz>XpVWk$mT3j1_OQppn!J?_1a4OB_TZjgZp=+ccjYz9)(f5gYLg$rCcnm) zW@MH44|;(ec)%=tN;xDPXQWLSmHw0#8&6kiNpUNn2c?gL?#n5$QaWzx@vlp{#`x1+{bqL(XgIZo2kNRW@V*(58Pt-_p0?zXw`hU=L7)H4n6b zg72HkWZ3|*2d3;6OtC|P6EL+K-MTKzCrF~Db1c4N+)Fy#iv^6UR_D4=K3+0&=KdzdrlxfZ!XtUy`hL3WQC+(@gd z=(FOid;Gm3H_W64lWS`e*%z5PvimR=KN66}Sa^6~e@EV1ve)VK)4qGbyWP786NKVh zJ9&*0G*hR7)-g;-9AFfR$@XW^YUQwSZK-wy^fB{4aYVY+VZPZsDC`?Z_vFkJ+eS-(#EQ zj_jA!E4>~x$oq%-Rl*Ca6~6DXx9M+RdZQG^eWhh^>;=@?B=6jaz}$F;xpSOY{_eq3 zl5Y!UEyCyjZ+HX<&`5t>;DAUtqzVp8eOo)+-v&p)S?Yk7lj|*@@k^G8fx!d%SZfW& zaAhW&v*KSWofrP6Bnb*&+wm&(hTxW2@DKFih>KGUejtT2>4y))JIuQQG6AwQwg~E1 z={K=dB}nBAs)aYA+d*@A20BgpGX^C`0VfVekO~b-5`>-40cZlsT|?z|@y1@)&?9@= zYpi`>WqF+WXc~HEf>?d=*Ds{W9z~}L8r7Sx(ro88(@cO+BxZ%6BbNyn`K6#3yK2do zvpfhY171}rET^jD-02*oKxrIUNs-GBr z&da~&qYY-@LdX|+`iLRs)J2D8Ztcf55@EAXz(oapuTW;;>#{nfY(GI~FXS|L0fG=i zf%qcpes0D?Oo4m+ zG-xtl0)VRD7bsRT+rjSYGQb`}=x`V)1cTY-D~w4HkU*(01Tq^z25E|xT63&QmC%5w z>8Wgk&40B3VD<$o0}N)sw7?%S)IQqoy8nVZ5JT=Hew}W5%_}BG0-G*)lRUj@jh+Bl zV;SB)PNGnG15?<>{z8(8qa&< z>(hyw%+=;P5x#EPn+f42z4Uq-4uggmG`Xyz@d_;2@SaC$=@!0E;AQ~)=D)9)Y4WPwRkHR0p#u*h(J|C>#8Czp1vYPK^97ICu+CK(fjBd` z2wJCE_pqAYEF1&>qvO_`EDw<%^l|(5$bj{Nznc|)?C~2CZQxZ5fY;1%xi8z-eyGYd z*VqdvdT^mBVLjI#o_A8GXt!y7t|=jil!U=_Z>UxN@%3EiFqybpz7 zdOIIB3q5oEz)zU791s>37OYRGOnjR_yS*=fMnft-Ha1zCGq%GNJ5dqdnVMZm^Yrx@ z`W7QcZw8_!00bt(D-5A65lD=AM?ErEC`R*Hzi!w_%8?g@i0 z!X_RxOMX`m@rpiSj5KplDB(+rKRm$fNXZdhqtA_yEf#~b;Ft4?Y1;)CnvReDQnsX( zm6jTU_6qThmFVA|Ck_0%kf>2VwUK%Sc7Df zv=YO?^=lDd+2tX(a1{+^1m8Bs$UyK$wR@{C)~S50e6X9$M%?rBm3!Ow`Vz{eQIo$o z>ul2znZEObsowHuK~0eD7In zM4deaw)h??v(rz0O$h_|=Ydl`a3~Z7$It#XuYOe)I|>|%lapc3-o-mPx7Yvp{FH6# z?zWZ1idb$CmB*5u4tRH;=&1}HkARfnm)Xq|GiVY`<5Zntv!p=Vfy??pf0nCCEI>4w9=u6PTQ^O^{ z!@Ma+J+})$56vLiaKf7PhV;GS{R6sZ#nR+)`!-?)r_l-6UMr0T3=6P!0+|Hz8D{u{ z`NS){k31fDMny-PfM`WBSvg-ioFy8J3rb@xZEbQ0NTu01S3~eZ$I7np(ZR0dD8vOO zKzNGNa?%la8y1GV_^SSKhC;(gnN85Kp1%I0D0K8_u{#7m$S2lGJb!)<=6m@aKY-Qd zm{!u;fP@Y7DK{@Vz>|fTdkKy(T3WJEU$CPL2S7tYh(6)YvAibd5PexnEqFn61pe&o z7y>cpEMx;{VD@J0)`t%E063}Sj4#lw^o1pn6j!KKVs6x*qyCDdzrX)K$EvHUkd|U8 z)B*spLDX!`3q8eqCT6dmZq7*60Cz&Ndl8y%Hs0WUVxBakUmFfNC>;2!2?8sn_&GU-(*{xNd`($3JJZa z7d5P|EExG{E}S2Us0@rb?kh0&!BLf~5JX<}+IY!o@#Rm2Svz+6`0qN^(U^6aDmMcy zJw3(lDd6=0b^|evH$UG8qkMo=2^)L3*Bho&)j7UK9Wwim-& zGV--S+ymUMN0#q_A(fh*&g?+T3<6X{vOVy>%#d>Lv!s51pXB0=F&2vLCJ>U@wL|_@TADOe5>nIeYWA=3WK*=UO$yAN4=m-W+&_l{Xh{$wH@6FD|3j$s z-A*;lllzUN&&bDINmPs~ynJpzWCh3pEM&xoWdNjUK)^Q*#SMHmk8CgXf8l7k|tT=jlb< z-cj$li+{H0ghFcB#e2Al3GY~{#LU<-pA!(@vVnpNQX#M5;-_RTqHM%%PpKzsy4hR4 zEjj2Z~c27eg~f z?JOFWncxTTtZ#l5qN$#6v}vCppZxx{cKhbV9P5j&@o-as}QLU(EOotV2&-LJ%!#^W{4MU{VK@o&C1~5HOz#LnRA@IU@NdGm=%VmQ2lwks)w*frluBn(c@oR zDUL8YbUWGeDOj8Tsq8(E;NgbmrOfP$a2cU^A%sv1ePMO+zvub;TlpW@DyTqbRD41d zDLUOEw*HYN(h3tE7*j3aTHH4?OV9d-{l|)?pt6I_XNp5b#lOOCabB(GpOl;&IW<#x z0Jc&~J6^~4N$i4cr6LS8&uW`NE;V&^BfvSN6vdfo;RH_s0<^nADM3gaj{ly3sWm4j z2dZb+Xm49$4xf>YP#Ji^A@>1zQPx6QtdNG zR3m@^k%aeIFN3wQ=J;SGt6r*KcFmBJMMkV=KymBhNWHE2IkL-TJC z-?3R)+kFFcrP@qn-F!D8?9iT@H}NB;6PS8d|2NT6A&Dq-25iNSv(ktJ z{&HwZx8?h)F1n4syi8pLqDdr={gvj0H5&7`@IE?~szM%$-gHkq zR_R;1DjR#odv#G=uK2vmmq-tvO>4E9MpzfPvE`Q5s|jc|=|K1LbouB&g7;YF(v%6t z;g`xnd*GO~IC^8-5YV+cxVpH$-sx65xqkC-psiT9oHVy6O_nZuvUDLqrv9;mLxJsS z{|Z=HW2(Qq8}07wd_%qWZ~XqWKO>uXf92fT%+q$=IZB1Y5A6q2nep~jFS6s=zhsd5247lk0dly$SGxYAMKK82(eM>7!-@i2rhI}9}&RSXg zqh@FWj1U%(dCdnSYQVz0k*Vn}Omlp2E4Fzd$>+oF0eAgj#75N51THqLqkK}h>h(VUDITNpOjy>oMc&z(38W%u~Y-FaGQk; z*6jZIv!Lin@YX&2ws3t}F>o^8sgvl{dQd)p{@lG=0+Lr+opF)d@n5;aW&>CD@|AB7 zL+5ycdaJ_-lf*l&>?@V!kd3+?Jjw@!Px@3cEv#H7hxYQX2ol zqo6T0p8WC@HSsxxBw=#m(9jrBXBPTLH*2(J zjIx&;c9x%x>WHBHGHd9|<2er4**UtOFOdby%Sm$F1UQiIOFY=<@9v)iyr;lQa~ULf ze|xc4GTqNFL*W^k*gi?4SMviE^CKVdh{mZ;5u7h#w}}drT8n>Sv`Q&CrDqjG?Ctbch$WQ^&saZ@sZVZb zvEkzdPLywlm(B4XB6kW+S!6d-?pnS))CE(;L^BNyjf(D(C=bv5npz3+ZBVFoPa?+3 z>D(wY*p2%L^6{m`kkY_CAj}1=Ij?MT6iD|ZpJ#!Ue{+2fIvumu?E@-alJ~OUM|f6i{NP>!12e5u)=MibAkZqEh^?tS z>=Ep=)M~7#wd`DoT7DMymtRB#JrbN40Ic-QjBB9=H*VZW$se-n{2p1Hrc-RuCU{}; zcZ!+pUoPVImEDx`a*ds_t}$*lj?vS2#}0shw~W3JC-{0EBj?RabOvnx9(Nsz4Wgd7;wjWz zFyx_@^m#ZNJ$9sO92yqZ2zk5%;kBV$EI(Yg1b5ll=u*zTA(nV}&dGfwnj*d?)3;a| zTLD8PEbF6D_t&k)`Vi?;dFEr~BEsd1PGn9)-<;a3CL^4r zlALibE^5uUYjX?HnfT;(RM^?Wv71Ec^{NyAxFg^FL)x z`UeM_EOyhibYr)eppkh11R8vqaW7RrULU@Xv$nK+(diTtg??OT035IR`S~na#hu9m z$>#%d{RhG2Y$X0?DJS(KdgA`mO2{tpMY*k8B+;kv?+p7> z;MdYFF_y!w`ww?a(!3++;WFPH18^ zg9RSf__E)c8N)X^2@i3W`LL}(BMI$5U-o15lDQwPX~VZlz%I;JXKB`LYckx|$7KB$ z3EtKTOepyCAh{BBsKi88+`@bT^4gct(e3F%ui=D(P}r`*;E<4@%}#(=U1;|19F??%uHKq8woDap^TfP+NWR_kK_ZC+s|JU^1L`Htcpq{W zmpMl5g@^erDxy0lYLd(wZkt!RpQMFM?b%=gl6BNlGhe$@Cvx_J9EBP$ltV_6B$}yJ z)xe;@4RxX3`m=CzvmxL1&?(t4#bj z^HGr{hf#npWmx~**@VMPZE*Z0J` z&s2?Bm;Qi8KZf51yF8>UFXnP5v6)&_%Q0)L5!V_#&%w@4v8!BnUux&p8?;tc=pK3E=Pa2FW7_onkA zO^u})xxL+UYxj=-)F*8o065|NbhgpV2OHT!{1v~?3m-gh>7RT#L-NJI)8_84{nq`Q zLo#h!Z6fe6Kl{1@i7oLr>Y?+^)`qkjeQ`RY`nv0j4ZC3s&kcdIF@9{F=e9WD^wfDkS!g+; z+y?U(lGrTyy7gA{AnT`)nx%OOjmzTH4u8k!^*qE3mVa0is}Daq%sYqn%!wf_kuj2hHUT zVCt%>XqE2ms=?LpSiH_CEZ-iXuc@i|P$c((C%`-d;b%m-{~TVhTk1)dbkbNkvbMA9 z$f?D08$4pNuo_k7ihTy0IP)5B*t0jse<<~qt?wOwHknxVuGnc_ZiZMU-_8wwfNcM4 za=w0ToSU$vCi9_uYbH%Szb`VB;AM_@%huz~t>kFD1L&Ev8qY@Xb86?Vcps~tYloioTKB(mo zKQ;@t?-}!WS6`pxs_soehOXblWf}Yeea6~HP_T4^%)L*Xn#7kFga7O6Tw6e@j2@j60F=gTP?8T=ZQTA1r2L=bDUFjIHX5Hi2 zzY=f<*^j5whQh*n>*pb0`iNf+2MgbuLbJ-cF)` zz3)d6!IUf|CAIf;OEC`8Q0K%LngLKCHTo8fDa7N@$ompH18K#Ma;ig9@QPg+2qkft z0ttG`I*{ofm zg+W?m2F_hx=>^QiVt||}bXii>E!Y3SfsmK?;7;{|Wct->29FB}uDBs(*h%@@$kI@d z{$uWAPx*^Kj{{a;4!)n*;m79Q-W6A^(AmbtxA&ZIR=D2A>mKNE<*q3ZjI*zZV$O8U zY!SxOYH8|wuRnJ8u-8&ZzU{ZrxA0{AGt1xD8-K)nF;Ry)DHbI351uF-f6tK;J0hT$ z!U-;1I%A8vjYg5@37!bsVuMbgXP`g`Ig!uU_GQNIv&-z`ZuYH53j7jSbsg7^U7Hx_7BQ)E_Y55;@ejw z1DFk|-4O_91K|m1&;cQcW%((J;xvLd*b+~RootIq>6`(=IbA{h@f{V(oxFHa7?u+(IZYtDJZMl?dqoKqhNgMk^I}VGKam2u2%@ zY&4wT1d>IBVRk?91K3tKRj=2DJezQTxbr+3t)+;Y@B6P7z_H0*XIW#*IIo_K^YWv}5fB1tu5u^`q zU}$BCK~QH5(_k@yMOl@29Md?|nl8}`y<>Q+$U+V&;Q+|szjU+F&bKG+h;L;AY=_u1 zJOoRf(E88qU?~$}p^(qgaLWHeSA&iKfWs#Ucr;-^0w#Evr-?qe|D?GbGAji8ijIY! zkikEM#jqI}?_wp|`EEeY*eFRldgJ!Xc@sfnh#k+0K~IRH9R#ZZPUg^?NnR0#-L?)F z6l&bj&jlosNdZ74HU;fJ7zdEjVAA2-&1PzeQRws+#d;2m<+Fe4PwpWVm~Q$C@X9l? zDOIbxvtXeYMg7re{WL5$j0150oF7DX*_I@RA|7#{qd^}jPfyPS3ub2Kz0vw39g)2$ zzqrvZ^ODvNl}A9*o|?ghEF~c`;?d;EJ*3Lj;oVvUZV-S9JA8cnZWIE~f9wrp{sKq{ zwlo%VbaVu!l!cS2t$7_nj`sF;grrRtg6=DXuU3@)6AbN}+$USypP#O2=;}h&)Zl1Y zvlt&w8{0H}?dw{uX2A@gQb?~zC_jGeXK-==&{aG>9S;i=SXrl$BZ1fB*+vL|A?l3B3PCW-ev zQ3zp0a>46I4DT7_L0Dd1-fBZ=!^iMluYe-^s01RHe;+dwuIt)_06tp8jxT$kz1IvY^GtL*Ea06kHG(Qrrw0;kuTN7~p zr|`ON&fxw%C4$~%mIe8~SK_gzZaVwq;`O@Ew}mxR>D;hSp0UQ!>(!sbCP)thr0CzH zGOB=;9xgID4;9Do<2snc0g-*ddo#p<)LDp=gCQh&VOd?hGHvO$MR2*tkHy#ei~Val zienrU9>QS~5l(oDg!HXOO`suUfielbE$rc!u$)7%5x;vsF2O5PLR>fG6%AuT`I&j};r~n5TncS@EKpZ>yiN95I!?XN z_OZJY<6vtm>0?!&WiS`1zLx(eNdgwoS9KAhzUeFg1*W2>>N5M)s5wwjXkjAtbta&6 zFPX~2mAr!r+40}~Vi{bU%~tDX-NE`xR$+Cukg>}54jT#H*P&}e6VJ(ODEv4CYp6+Z z*Fx2TtGOGWPxFzjN``Ch{k^~9^(v$Fs+RKa4o9KCo@PFR<$L1I>~j?>Vkg~~U!5hm zkj&{e!#kwsvQSAE`T}R`J1i1D=XNs0)=Va=_8v7IUcE4;aF#dlrhVBUxy`WwlhzH_ z?`C!2OPl1K{4Zd46np*;zBQk^a#xfVVA__S(i1ir)}L}$fGwF!)x+2tr*e# zz@!=Em{O_rG~_;KQ4M|j`k&P5>br@`SkDqgIKh|1ctF~T<~9iEwnT*5tG*##MbK@f zs=C_fRxHRM*gZeE*I|Im@!4tqA{s>q5?@m-YqI$lUZ?5sfc5cYcUc{iuK}HL+J4|$ zM+^>QK)MCySlH+ztnO9aciaP0Q`g`ULdzz2zc@#)3_Zh0t7I}fXu~xHax>ujTH4q| zUeW0*PI#1=X8;*!Ai(&y&p*)7p;IyZ9&G@6%Zdsrn5bB}xTKMj-2N26TtV$`p;zyH z1sWYel@RHQhz=1@`81~qNLA23PCcy#od)ayC=Dz&S6~MKg2`8)$byO0Ea{I|Aymg9 z6%IK;B1pOX{AoUX9LYT}-yY2i#CIr5A=eixRZWWj&Y-KQ1g-`zOu!(lP)HI@Gz7u7 z3{%8y(87Y?uL<&f5Xgh9Bl7vg_OvE6?u2Gja0y`-8|3`Hthr{`cpoZc*GVs=b-E`h zXuu7JVV0o~>kGxO1g&8g33vr49m^{#LHS$z$EhsbNkNbg?CS0g*9?sag3JRb%z`ZW z;+~CvOz+CY;#vl^FVAAFoq?%)Wzagf_|GrLWpxRw$NL{LGvbCrCFh8B9A+(=4Jc9;&AHNTA)2 z2Wk2A21m%qk1mti*Tqz$|L)stLyd) z4+{D&dAXuCB`?l1bBPEQDE^LP<~VVn{{B=wDc-6mTGcj-MSS0`xGxT+k#Sl@U{>f- z5hm{%TK{wIxH-q;Zk9>3kym~>acZ}vIH0=SqL!0e&CNK{Sc^(Q4u`31-tymQx8!SF za>)_Bjiwq`zJS%J@8e3D(&B2n(vpVeCuq|>>S^qmL2exy^|(JE_97cF14CHdV2M*6 z575@~--6GP=CxO^ES#=xRILt7>B>_GseP|dX8+kwEB2zKT!y(()3YG;EKFS&8lE$d zBs14>y*Kc(o4wNDcm;48Wo5+rsTZiu_s;!ajJI>^_a}aX-XB4RDxTT8f~7~EG>MYm!oSI>x<*jbCqFrPC=ff&+JdQ}$scig$0}aVl8jOSf5+eF=^js>ukHczD`@ zUD)igfF)a7`$Y$^_`79UQerT_O$1?vo9Ck`O?EOIlt?S?_rar;Vd67KtqQ9; z%3j6F%F3u-8abcfN1{8DLSv2!B>2#h*(i4{I(w=1D*!MrhqVZZHy+AcfL7<;husb< zj=a~UJgxvXA=jxmGk7>j2|Nz%z4dmBQnqod$1ch&Mvcz?s{b<#&klQ1u1>bj2iv%$ zaXv6)fURE*j7u>~o;JIy0O5a(I8(-$82-DZ0InM_htG*U zHd?1wV|CZqYbKfKak_7(TfmEtU|LI*{(J+^F5QYPbQTKw3)T54@yX7Pd+erj&vuN# zL6q9>o9;`SW@+sOU(v#VISG2Dt8JhW{g6Mu#_T&N6L92eS`MD!fKt9?DcDb^%hU4_ zMS9(bRhNUiyWn+kHI|fJYwWs}WN;JTg4Y^vRNqmXEJt@sio9H}hZ(owgi5@-eW0uF z2#A=mZ-?rRs8F}F7uw&pcqAbIdZ(B_WFqVTlYLH$eHTw zajYI5oja20AB42VzD{6U-(mN(^;)qWB`NXR_Zi@HZJ5u?t^ZzgJ=kQ}af0=&OsmNn zI;E50mN0tnoWmjc#s{%9{jG z={Sqd5x>14tT|=uyrfW8FKfj(H##(8RoJn}*p<`RDMh#3IStnrBr+$G z8W?3r{Wk7}-pG5BkG?w){CsB01LuP~vHH+gl1U3Un5`lvq!DG^qfk%^sPfpO2$VA5 zi|adj&maU-8q&%TtbgJ9JRr+mP2`UFz@475fyZ@+osK>B)*IdR9xK62opWz)bVC11 z2SWTQp8cOj8^8hj?%?HS zmBXW;TQ^;Uhg@QGtDB2BQWg1ak5s6UZl4bz!Pn_G2wGTguqWANMK!S)ZY;-Y2>tc_ z()w3{+P|&PrIUw-)|Y{hWy$N}QN*^S*PqTZo5>cJ6q%`(U61B<;6e@f9j>k~$C6qW zIX0AawmqklN$Yi_BM5fedpGpf3)nG?dNWB_4@>f?ji#1$53n`w$Z2fTs%~x>^577U zTN7A~eR2OvvtJ}l`$lf-Iy+gg{sUebkO$P?8zipR_A1)Cyh;U9GT-Al>UQ_^+uCAn zz>geAQg7*}Tb>^4*J=|r1SFam=6>7R^Jp5%){qyxG<)DtYs6|u*Va_Mx2-^py(mD? z-C8CS-hS#=LbO~OxlhxQpTxcZ&Dz!{i~4F&@n-KK0r^@bsPJYags@Jt6iO7>>x8@B zD<;7C9TN21D(k+*J7R>=Q>Z z2w!{%eUvdkc-g-xAOb^L9=u%egtK6{gNKGCA_4b$gSC~XW~Un?Q=TF>K2O*(<$oBo zaWF5C{Qc?O@JEk$1DezWnj`+clbs}#`c^PPCa)QM(UkakpI3rs?Rz`38#>N?8(Y?; zQ=c%Z1le@EQt$KWY`n*kLI2llp|HBEPe6X^i0v5>Doc>#nUzcCqMyW0=skg2u3tx$ zWXq3ntb2SlJvq>0_}6Ga{&5b{VeU#5pnj#(pJTVy_TH#)$O=!s<3TiWmLv6*s+_a0 zeZx)(k{EE|cjO0>oSC#dq`?oV{`%bNv9{v6G|vBcsDDy>PwL&UDp5mc*%AlG>E~=` zZoSD04t+(u^>y`HgZ6cN{p&tvq8CS+iYbGy6q^cuH7Hp;l7Lu`6rlgdKUFvXYYP7N z-Hm=SiXlyQq`X`nU|&WyQB`%Z&?Q2+cqr6R;~l=>O#|Ljg_!IMo%{EjSG=!e2gT8d zCpz3sHW{m_`2Ns2_m7xV ztsD$xDt4=l{l^H5J4yPaE&k^n{r3%)-RstpZforkbb4I z)fgma`X7_@|CzJ@jL_-Nc!#{>^G5hxHj-?A)PrRts* z@pY*sXswJqkV(;w6iXtHM`clCdN^u{33;8@9OP4`dnB5xeJepz0CRn9q^x&-Rch=- zre&0bsJ?TmOhu%CNy@&c_zGo^6HBvYeJv<+5>9K~(D5CRbfyhXIw5Rjd)sv&2-%Q$ zd%bYUdk(Rf6PpFU*2ELSJh~OB13^d08_m1A|Fwi0p^*>i0^|m0qP5dC{R?l~XWK@v zUk#MH94Z5hpC*zFL=)jsYo8XfV^v0q2&}m;7&XTYoPG zs{7qn_{9va9tk_$)Eqdhid^XbIJ zg&>;+LbdR(fMsh0(=K$@@$mBU@_zsMLw`xn*|y>Y)}s){ zY2Ql@UZD&&QPTms`?A)39Z0{{Ts-=YfN^GC_j!$W0tnAqtmG1m;nzpr@389!{?O*| z13c+&Q;Q!Af^9CKVQcm6t+h2YqRP$yF;Vgs=?(43D;w*cX_q<1xwCD)3k46cg5|Zb z$5YnO2<4avnO0{GeO5#yF}2t18$SVqetT23hj>S<%@ znLFdHLhF}O9^H~?tcFB_E0*w*|IEEi)!p5@w4tP4N`CZh8FcH}s(elB6X)2I+*l)R zCXM$z7H{YYf#9f)Sd?;I5iFS=);OcR);`HzGYx(gp9_;}v637PRDZdQ$=_S`PbOvkh3Q!s^y%@@0niolZ08D}S*mC*w@8Vf`!nmWO z-~g?bt)U51A!TlE{urMl{L$Cd9jXvus)KtBBarLoZ)t$D^r4scH*G*Ba(uyKH z#9Vsng?2A!v0W+~%@g@ME6ml2|9%URUyh27Hh)|sr!(BK;F$XPvsAG@N4pVx9+r5T zay9a>07OA#j{>NZfl@Z?ux_aU>0rMOI;;TnoN8DkF~ORL@TW5U@JUT&B&VXXG%e&H z1lTS>nW?dBUgiRRhLbx7@W2-r;U$-s3upR7y-$BuQ3R(6{MWA-;r#zu2h9l{$E!PJ zMu(%%pfC?tng2`&AApHbC6Cx(PokA>#R4R!+B~Y&^rAozDLX@<2aNhNm<1SvIi)n# zJ(Q+qOtB5P$ECitWUgCJfdgE{;yE-}8~KeccO}TE8bwD(&*rMaml{>aaEJ2Uzwb3# z*w)szo}pT#lkfH@)CL|=R(AGwIaq~bk1KC5xCIEtbeGArnnFl{QJA2K0Y!yinJbHa zt%Ix*W694dEXY%AK3K)XYu9FFvD(u5$_T-|Q@w3!sjetV>7Gv;B=$9$rERa{?ub@0 z>bm@DuKMS*mi4_{Yt}JP-TzePYqiG%*~n;_MVUg1Q1~MQD=VT;($fz!TZSW#SDPQD zvG!&-6@>tY&#eZdjb>?=K>Vq}U4uv_!TZkGU5_LTlepeVgdI`AUT}KnbsQsm?nT8XrUeqLk)>C z-$EN6U`!;?C}Bcx0_6TA6#QUaT`Mxqgm=%YcVe=#R6adYy&19b4LoV2zOj!U@g;P! za&v2S0)YK~Qkc9VL8L!`p)h2ZINIx%wjhYB97GdZT3IO;YTs0%cN0zgs13^DR)Szn z3Tk&IIv{>E?K2~>zj1!~4Rz@l?GD>CMOGm0bHzsFh5+Xo1L||YA#9v3wem85>IkZ| zK-UfQ)f(1@Sv4^+!L)>cW=dfpZ&Uqye%IeGt564UDB!t!7o$D!U3MLIS0ngp0SE#) zHjFfN*$_q*s;L0DV?)k_7KeQpI+xH?zjTxk$eXy-=%KvR0G;NRbs1X2 zDlBpKk37~u+}#|L6DC$SW07p=tLFbFQA@< zDqAsO{F+#*T`0R%jVhX1zAUKTyt+%Vr$%|>MSAWlH%f6k6XS~_czxD@CPEcQ0T{TV z`Khm=4hwkBZ4Vpp3v~+Tb03PXtpYRe_%Ks3eq=s$Ycd97V9tCQZ zD(SCUB=z)+p9Whxn@$(itgo~lZQai+aL`r#^nn3L8?Fbgo5JoqW(Y7sH=cTOieZw{Y^~J36mO}tp9)M+X#vAwz5& z@*N;9u~nH0$`!!td0Zrj7MDK_o}NqJSVSci60XGBv7hcOj4j-K0cn0Rd zubqSbH)P$wY=X80P{V#cfFiAvlK|4t`&RUjm%Rrhy))XlYrnHUgJw($D5n!OBtt#k z+S>YzrKSPp)^NgMg1vn%RN#>}p_PEa5EPqs*1vLp(6Qbv0qhF47@mc;VrZoFOiYmA zWo2hSgvP_Z!htkL@k9vJ{1=n8@`;z4m!Kg38i?z753H>@krS3ypKJlJIkHYmn!hH+ z%Q%yPv|oWI7jH0x1A9{nu_m%QTiAw+v&c;A;@oDL>zqQPP)k^);t-je(7pui9Ek#s z*MX?il2cJvbDFgxP2)OE2XMHQii)^rdkZ>oBLyQ*LO~kb0ED@rcmy!I4Sz8s5CQ z^VzeCBU=8-S(8L)Yf6J^!8eQ2#|3lmIZByZ)`?tSz>B*c_o1Pqm>@{(r{lL-+*BsV zL;YEA>iYo`OJW@~tRb{Ru5SRiJh+*R-y+i|F)w{@dUHj6OcsN7EPU$}2s=BknE8au z{|5`83;mQgyNM|(0sEXB$GObefLWgY)fx#~D5QM|{SZt+m=DCvwS?E_S>#r=)lZIBXDTQ8O{~h=+3`|xXukr{v{WUe zB}%7Xs2#!zg8oaDgrH>(MH%=G;Ofg*p+o)xxk`=YDjYhjjD7=YRTwy-kOiuu(Ln%Y zpaZRvB5R5E>F%VceUmzi7J$w{ilw5V@fM(eZ)qjo>LY3&7oi&nQKm;-Q1#c*#>vL> z$<)Krs@T=$6`iUz<&#ZiWQm)Y`AE|-taD`R&vD&>2GW(_CKWX`AK<#);9+{OI}6<7 zCVN(L(i(xEGk;DAe;qKXhxQYE3h7)84xaPQ)Bi$jE^Wd?eT!5QmMtuQutz}DxTK}e zQgZ7lhRh!p%Radd0zr)~opx8DuWkpk2iqLNK1zFwvy2s-dLr){Kx*T~LLU>P>jQ&> zM$igx)Fy{Sg<=>1+NT277Q`~3^7VnwgaG;5TR9L|V5fUnyEjLtTkAms1#4(AYnPZ^ z;icR=@`8Jt?YQeON0hHyfgfX{s;?jR4~y`ImJ&<%ITr#2$aBm9k&CPnn{o;myo}BM z53WDRquUkM;Nn8G|J~e}n{xneZ8TNy+qiof?gflujm#Lszru?zfYt11Z;QO;0JoXt zB+0A^G$o*=^p1`aLT0qkpE(1>VbU$@S|ziI`nE>k@Cn1J1v{%#fKP(AjRDVD#34W{ zz2YUE*2~`x#sba2?0_ZuZT;W~qirue4qezt7UfhhT!e=bjFsWd#H_sCRk<9xqCy1I z0iDgSuLhRlSp(;_*5F`yt1jnE)iQN4HCm*@m&naGyF*|{0ali+L% zV*P+yWnK?{-n&8`uE=ac*!KFt^-jRBeh_mPg!b!&7+UBG0;3tk8g7S#gnV6aL|D2a zmQjk8kaiFO6{itI`1AyVbl2i#(*91UhsuQa69erR`Jq5yg#KoM!8d%xPj^u<;H12J zXFQs}?WyyaFEpk;@)LM(V+X)}4m^p?L&Cz+0LTcCO(E!4GLABrNLw9SspZl7>YJqj zE@K41A$Hq;bRZoqAF~0F++_fkH|}WUsQw~o)57WjTIC)b@d1%X$)y_rp)~afXF)D9 z2;9~e0K(w6M3(7K(EEVXZpNA^opNdkWw@tPk%Y}o1i0xR19oc*dh@6axil^5WhTrXhlomSQslU*9b(FwJ zm7`jBL6AdWa2{^)IGym4#g`Y4)73ysgtE}WL%;=Gefxby$Dw_d=&>$$%k-8M$H;Oo z9DJdtE|rTZWUB_4DDvs2&>%-V(QgQHG8^^Uh`oPw3ld>ABUFgSBzOk3WEtGMU|@6* z2n_KXq5>T7k+5c4f97Y=B(cW8ZElp`n4UHg-5OB8An+ExgR}jYcH#{Zgc^J69Gn^p zJofC*WSr?c%P8>VnnGFX3WTnbI&yFlVt$(eEbJna_DSrPrP0MQD@sZgfN3cO)WNw0 z*z;G%EwBM7&<&snASkn%(~+)`{sB0#wV$ydO0c&+OPzO>q7d@oEPPdwn3?#~U|oFw zMg(l{h47U`u_VYf{pWPPunU5&j{jvj0E}yYv7$NGeoi_UW*?KRRUT>&r02xp6a9T> zc~I^5K9Vgt^F#%OlBNid*TFOR&0_!_VQ)VmKqA0O^y-grujf=&#c)bhi* zD+nR}OJRQswjoZ@K+e3#%jQgeQev~hLfifc z_LHz3KKQ~j;!lB57M1wXg7QU8NLf%lU_%syp#G-OlkIr-k2cjAza~LkiBB}cCo20= z`iUY=I$+C+!yJDSL}LEkyW8&+f8@3TJRpG|2=+$+7vo-fzlQ#X8(tOn`dGdV23QX} zZnmuiYE>k9UoyM;MNN%Obi2(&A9elOknQPxWwdp{Dvo zWxLmOO6bzqSNI)GJ`Dx{))sxWe!gAWt24Aa{jmWFG4a%crc-!dEBYLM)OeIlPjF1s zTy;22_M}F9);q`|oVdjf(j5u^oSVy3Eq3LVt886K;>x>VF-b~3rhaSP5-_YN`~(vn zA`+5dZQ_)39&i`GfB(Mx)RLcet%2eA@^;m7ybki%)OPX^0mIr4^qhK2!I%ZSmN;Nj zf6y4h-@2}t3u-dcAS@*1{iMR43GfX!f$a39&4YtG^v#6FhSKy^R%pWldJ=TGLIae| zLDU1GK?8vqvc@Ny4&eF%JliY4Y#=|<1tE^+3`ix(^FroV+uOP86mvgo<+=;gd{P6RSW=`3F-=S7%!v@84G;~wVhtXsXh_Ziy&mV#{nCbTu3hIPmQn-EleIQ> zY;s11UqF?)qa!RUZJBBeEA@Hn}4Oy@d2y#q31`{epkMn>y0sFD}M(Pwz zp-1yw5Jbr)Qc_d#vDNDYyfQuXEsQOov0D$f6OaUea75vw(E-rmqx5e7t-(S02M4<` zqWp1^fs?c!m7FNIJB`S8Y^wZ6w!;<;pb!%WK*I>y{Cw01sa~jd)l1G^J-}m@^c1*m zm@=Av6tt!t%+--Bi3OA060qg1-kVF1>dpG!VjU%3t=7XoA@404cMA@n866oxRHP*O zaRUP?O+)s%i2T+>?WZ0|;6r=^-4v;1$XD$9SP`YNch~M+7(+Iie-BMJsv)AE7}=Zj zkJqVmLf1R)bO#uj3sgRQ=XAJh_h+OuIAC`5zjZl^WoYQ=Ztjl)Qx-uMljg2^uDZJ{ zW@p;>5%=AH0S;Y=ih_X{7=F2cs)lrurC@)Z<8>S{9^jh|uikYGDBlL^!VLt*-rEgL z)W@{5Ag^(G;bm>z5xBT9JJ#YX(xHkfb7foT|54F6V+m*}7eKfQ6z*2Ye}~!!60^V| zO~I!WV%AUZlOw5)M>(pnhf8~cz#&4ehhKEdXfHF#i#C{wo7ujTAAS&Y!x1Js2+G75 zh;4M-DPPL3T5oRqtO|Gq#IUY6=9>k7kS+&kg(4~^y45b>J*?m*FKXJI2%tpdX*mRS zrXT;UAafrXb1?h)l}k53zw_m{>AMGnOIL0L^KpatJjhTX!Yg32lMTvGBYymPhsYuu z@P~C-2rJfTO`-P73sW7+6obn%uklh5dEAE0Ia7>q^Mx_TgG*@8RQNl2J>Ste4O>P9 zIvXbRBpHtrGAtCx4GY>?^umB|E82J{S?9c}r}1uF-b;_IR;orRvJaB$Vpe*Hm(|JGpoVu2lmVS=f8eG9=FM+qTh{VY-uFfYYoGJ{Bp3ai&gy4X7Ar*kDB4iO=SVTv?FTm~4?{KcP# z;;K4?-8QrS1ogtx6_P|vHOB2{n5At$^t96PB8)+-$u+p8%RPsJM*JMLwuDr4-zi*Q z2NqjSKcAjnQoqd>^V11}VyIO()rSw*blUuPHJvirF0j=_U{bDJnFPm2G*e+4ay}E-3}n3|kjdfTx_fW%*@Q zVQJ{=*9S6VFCYnLwE0zr8mwPjT8exc5U-SGX7aS^rP7!0go@;^>UO6Im1unpsi*Hf zg+m$Sr{N|biA99e7G=a9!0zX%^bT=8WZdqO7Ah$#Yo~O*(-d05A>xVT_o?68Cq_Yr zjnubHit%A*#C93Bc0ULv0FlEW^%a7x#(6AO-%AcrvRE0b3e*Md)aM-p1X_!n)Z81Mur zB?w;GkmcF|K}M;YSBQv-CgnjA^WY#{o>^5-^>qf#ovaDQA;OwU&*xAJ`6eXjmk8&P zqea~2pt;HH><2*yW;P5) zP23K#aW10|&7iRUD4=(r>F6<7?df#a(_XvAG@{LpfK_$6Ghv(jzL6V?5*UmNqnHx8HcfAFB^5NK4#O}g- zb^=#TAR7$Ym4E=Lc8J6bw102m?;$D;6ah)z$0BR)dAZ1@l{2{uN(})MmL{#9F+INs ziupbDc0G3woFGrj^}ea4q0vrCFl)sedo|gxl_`h0ox8#kD#r(6N)zvmZ|^bfxP!ei zdXuqdx^FuF_X#(C+80%nG54Bhd7#v@FNN_t3gy(&k@~vZg1>~hJ{er$l1Whl3K?IY zG>v>+Y=W)%z6@VnM|r{P=W=95(3fkf?pq}bZVLE?>2pp2by5@GhN)ankeQqTL5(xP z%yz6MP%Rt&6|W-nh|KfQ)yiTuc4#S@vyJd5W?Q|Y!p9F}zN1h`)bQBo6auM`ot?}w zm6$uwK!fA_EK}{u!a}%`#6@FQb2U}=oT{pXNBQ!j!uofyIXMbnTt4N6mKMQqCV`+v zo!2KbhnLFn?a30 zd-zNuPp_JB&Xq#54x6%IZF^h4wn8>53hE-_l-q++okhb|3wd>a1l={mITSxf4Y&1i zoL@?|kgOWxGTJ-sC9MfX);D=KI_--dL#6-6^Uq$P=VY?AOTUcpw|Dh%iUM|>aWL8Y zJ%%{P3iaXznfG&JYmD~LpCJSPYeI)YS%>RCM9pJPw>_z`yLvve|F%)@F32ssBYh$l zZ*u-AoxBde7c4Vjt9MVVFVIEnbH!RtcTgsXd2&Z_kQ02fDbCc~}j-cR3BV<6pxvgaRxGHr{{=OzlY>#q$!eY8exQp;zYJHjZ(ABiwN4wG1QVCbfxr`XjjFb!N7V9e< zpt_>ei{CPr5ug^!Hf_zm%-u=o{GGSxdzuVVIl8~KJD$>YyMmK5w6rm;&+1jyF8?VA z9-oV*be-)m`trF&|Fm(k(ZwUoy5{Zt40<>M9H#PuExG^J;NdFGHf#PFc&$NnR1^o^ z9UPV2Ai@!3+X3madeRzmDAw0bQ(r$R^>4Is?=3u_Don+yNj!V@Oezxa8`1;%2L}ff zb!k_F2f3%TZ|=P0BnB{b)7lU$ljb5TLe=OMDkTrjpK}`K$MY@3oI8Le2`0TMzv0bH z846Wj$*8O9@m0H)B?X@e6G{urN{ge?`JLBtA)MwI*jVp5ucFw&H(Q>@YX6qzw} z-PhFly|Uvey_RRjt} z8+f2zow_V%{%$@z7#j_pI#SqJ2K@LrDn4l4jtOfr0u*fO@-y=ZX0>(#{|3;YDhbWh z6#GoxejfjI;130!b7nZnVagwWjs0*I6k|8z=M@MoLDcbaX598wc=-`1S2f2?J{ul;Y zTD1XId!)HJzj1rfPglGCm~AZaZW3)1XcKlj3^!YG(n_-j%cMaYq1WTRwVhf1TB$}_ z&+l_0luFibpgBB|>(rF0`azMIoPsK?yo4OkI9ipJ27H$6!w6Bq#u8vyr;m<635gY( z^+3l}q%GC2fT)+j1d(Nn;7mbndETRW2h23m$lu4Fa;$J9h z@fTupa(IoZn&dTEv23?FIYD*8cNJJRo1=WE9Pqx_&l<&zE;A!9j*Or~(6)t&vl$=` z@=qxwLnl|()kIxKho()`1aS1HnUYPF;`q)Q4;J#X>t$4i*8f&Fw!r^SIc8tTc!NYR;gB;lf#_?Nyc(g!*;6El%2DP!DSO zx%8ItsB1ZzigTbh>?7^)4k_#t(Z6AfX)?M}I8ECuggAstLr?lUcl@0@&}q87)7bBY zl3}L+(5P|mM`I8S3w_TLWtuJEbv60oN02R;+;Zv9T{o*pMfw!NZ~QWd>FDUBy<-)b zDWs#W1~+5DIilA&)llTqP zGgf2o{mC$rL%7g7Ep$NMh8~ORRY(O?Rj*9NcAB=McOimaP`sE-L^g8>%vOLCHQ_3O zpxx-Ih9J>Tz_BI^i)A78koT>CI-~{#Dt$gb`QC-d)+iLm=<-XW#~FseSB#2|F?ZX3 zD$NxIt>i*h?a$w#PAD0@6r->HN1dGJQp-vAc*0p(`^4v!w#x^8p66+6UUjC+VdU(t z+&0YeC2wVRz2zX)8==@XMW)xV{r#p+BHhz&k(Z5u6&p=&S*AY}DJx!8;9Is)<3BGu z&DfgyD6r<#@8-TALl}u=1=B=AM@=nF(-b~36b^6Elaag^Kj)xnXI?*U;=t4UxK5S= z6z8)me9D3F|8$&>)7`kVturSv?J)pXENp)FTG-lZeXtj6dIfGBee#+80>RuM(N4fA zmNPDy@?KuSmP?;LI5F=l*f-qHYb$2o;ur~$Vr1hKtMD+R+YDP>-3MIXl4=_^M=wk*PJe056^XUo3%z}9v!3@S z61#bVn|z?s*u;4KW`#$(?T;m<^Z#Y7+_ZsG=F(TP#IB|virx0Uw9pHl&6wiiF`J#l z9V>vq>?eNcjkpEQ%a+|sR)dJigfIBMfMmG)999oI6om-ebg~3D6+IuH+}Kl`9g42- z$eZ^OOlG;a2@8v4Z7-$XAF}CC)GF zP0Nfe9mqEB-1(ucFPneqpu4?QdR8e@a|*C7M0hU~T!l`E#d7r6#P5I$)yAopE!Uh` zOP-~{+;l@?C(%}BzIuGg2~_Pn z%5AKBy)#}kmuTjdBXr_u8Y>N5^bSSwP|l#}K+uy_Q4VkS5u?(9Y6gp@JgxQt&%cAg zdcx|$X-gFxauJOAuB)O@vnFau-*Jn!W83-nU_vmSAi$bP49Ov-yIUq`}#_&DC|d}tCxm0CAWfd z;MvV!=-Yq%VT-ktvLo&jU34J{2}zEHc<4H@X^*?rW3iHsF5S^|SQ2Qv(u+7T- zws4IO^lctJp@a1_sHMd#elK{rQ;B@B;H(f`&94W>00$WFeQn$lX8hvWnfrAN_X?@! zoD2q|2560p06jfX26OL3*X%D~1(iJyQ_@=f0NJWs7yhk|yR*1q2i z(>OW=&6;=1D^Err{pQrOY)Yb)pZa#5A$NZd5OG$HR;(o<9S!#OX%%9jdt}O@uS>s_ zR+`B?Vc_HVOmLp|8%-t}GY4@>^RN|kLl^H-R> zOpAhrP=#Zg@1Y5xy|WV60fM6&JtC=o3$rVIvP@@`c0B}2OIoV}U8gp+e{WXC|CgxWbbj)u=ub;j%q_9>ao_A6; zadVTJ4~w=#X9Vi<^|8YBz84f}SjfI29s?==Kj|*q-cAdg>79we(!mF-UIB zri^oEMw#dWWOf1sLUXu2&_PU@eTNP`BZh_~?%#`EUXG3$PJUH-d2PHUqss_&KF8}A z4!P+|Cj2CM0}#aGckJR2!5|W`&G)y%)LfOsK(pnb%-g*iKWMmK#zYsYz1%gMYPfFi z^~fX*SMK5pLxAVT)*a4blDt71M`Q1!P9DG-5NUAtx$5Y%>ctLeWo6FFC;OZI$~pEN z#dZLenSv>oz;(l!xEQ0`XhrV^4=T>N^dqpTZphw^&ei^g4Wod;h($vLv{IW(wi0#Xl{myrdp`PZiL!;NJcaK z?!>aUAgU>wiJhTvR7Y)f2rjC&)#XG7ziHnCDb;MZck#IW!+G&A(B{O@OD~CFWEGZZ zcHnNcwunLIabq|`2nOda=$+$aWfrZ-%jl3O<Zt9Slk&UCHISCZGq1H ztzTLE>Bjxc=UwePRTgOAV#m{`U#T^OC^)McvWdsG>g>Mf2U?$57G0*L9mzueBdc_o z2dxlQv2$XN=3v8lis3q8Lk2wp?+|V z+0-_%zlyGKLvbO45i95pj|lI496QBO7kSt9r0ylX&Y2g& z1vXE(&G%$HsMjwUdU@%kAQL?a8qI9eUFGI^ZRLk8htw~K4Qy=k9Zy5^)CFnBLcIl3 z0)AO5{`MxiDKj@VN8G{H1l!|=NWaf=d(-gBDyBqtnmS>B=Y_D_Gy2#(5t^HySE$2+ zw}5e&7@}2jO4VJ1B338QUxvY_$>fTR5sa28VeiHshD{#4rIRXo-Zsf*WQP%<2({_4L28h$I9H= zOE%x#z$4O*Mu#PNT?K1%1qB7T(1PPMaD*CrA|(m(xKeWFVw6y&R-?O{3(6o9VQ6kH zr^@OHiYdK2!}n3T2fh0ppOPMe@6N|NGixr$?Kyh6IVaq^gN7JzH0YH(JXmn-eK=7@ z+QnwO)e&vIFtD|ZO;Pxbsi25vG_TFZaebMIpP_ADrEl5Z{$dnNjvWf-_TFp1aGzYG z(PR^{f~U@UExThgKSoB>J}S@d9IU|r*Sinq^el3`oVGolvn9V+KIhWW8GU?MYU@qB zY;@05_YQTP`_-kTrJ%eTz|_NIfc@%}q(GsiH{W(Q2Zy;^w-qi+|8H2I%anq2bNBI| zZhpd9H>_5AO#i|^0so2$ktXVwFJDfJA7!3=X4|~zlYEa0vgscC3f}ZmOsAO++27(z1ANFb~UPnVrVF*A`j_xp~`!D%hM}^ z*4KP5^$&p*==AIJ^j|kOOQscLUcWx&F@JC38+hBXan*<@n&{H#pG%@WAfKC?BOguM z+TNay*3!Gpd6g|p0UI-BqxDPT^#i<}32$*|FJY(~5HTR^@gi2kHuZu}@+iPXURwQd zp8xvAiEht;o`k`h8mu^imKzbd7m#p?p}buhybP(>8;KNyM@yIuwyu*-*JQE>EIso* zdXEZ=iqtI5)ol(a2SKa} z4tk*}(E#u!0DYzQ{1X>iw)K93(tw?*GB_$44OUv9-P{6Yb&8zp#6!flv zRL=V06!h&tXJKZ2wmrzbJM;e5?ZYPhLthI8skGV@T}wwta!@NShZ6aMmqDTS-?(m% zbKd)z-WWCm+SXb2lNHESt`PY0h=}O)!36G-oJ@&NDj)w+)*z;ho&2JFFcNFTTL{j4 zJ|Ne186N2&tUmVhu=P=|cMKC$&iW0_}5n>gqjorg~tdI(zRpqX@r+Hm9eT78bq+`yFYn6Zg6u_g&C( z%h^AW5tX0SOh8m@1_uYp(LjRn{(Ij0704+4bkvPDX0_zx=4KZ)fqk0csFxv|^1`Et z&-e1>IU&nIrWBNgf~=Q;baT9^e4+a{b?RcPbSnj=dMWiI&yKlM}dEuZ}$@HN#4iZCpx9LF{FQTDTdXPS;ptPOED0zrIVSvUsOJJ)Vpi>4AxH34pX_f075 zD|<|nefaoM0MWX;;#`-54k=*B1AO04IHiDYN>Kg$r~96?6Gtn~s3-5J zf~6&EQx0i*;pg64DL<{1udC{UMbM|-d$HcxZf_jLD?C6#1dctgL3E$}3uzsE{o2^7 zmsY8P8@wkFwjQYLfj@D)U528U#>Qys!gh@K!2zs}ygLY48vou4#%{h#iLpf>Iv{@D zmL|IYJMCXAg9ocw$m4Lx+d9j}rMs-w1igf9FLfOFRqLc~DPH?Si z*qvdG;Z{rM?`V&7FTH>JClU-pp3W0!NV0KsAPB7>fEqz zgG3n2-`Mh|HhJLn>lg6hn5J-6Qb)my9jk}7S1$piW_!x4pE)t}c?G3j2*gdJ@usDq z6nXWMzr5vj-dAvE0jU81?&dg0NiihPRZYv=2Kv7*tDdSW+@~`DtTExSZ>2@+UA&&U z{xGO-^|`8T^#EB0WU$%tYF!v&Vqzfpz>!wNZKl-T@XeJCv{H`HVDN0hb5Fog8IIqZ z;K^HAw#m)Sjp}M0#=@cWHH@b8khJcf>QW24>bB7+G84b;*;$jP^RrPi8+$K$om6?x zpGvmOA!KJ~hu;VDSfA^zNfDcP$7<8e$>ybzlM@9z`Vc(R%lt}9+9s;Aw+CAq8>JSy zsRX%LOGbu93qhuL#LtbJ^X8C(<+t9&MK-um;2+sRCfr$eI@Ds%<9_E`Cvm!k zTymiMLRnB-`wmVHP>o-`$EB0APR}hziXVIm-XvSlV=N)<<_SvolTLNCp>Fi zIS#cXeGGq&rwIN|jPKj-s_iSJOTRt{Tyi-UhTjJ0$bb4uwu;f%vBfXnw3Y!!%6-?eLb!7+bS*`WW1$X0>& z2F|*pb8bEQ&!0aArl#kBa|pnsfy3G%1t|6z+SpuzjR`O&W?6&V+k%jdf=%8FWDTq) zUzvb~3l7=*rNAtORAds~0i(FiJ>%vyvsoj1veB8fKPv$lyxxoHrLX|tx%@i6F$JZg zcr-F5k^0!YJVS8pgJcDwQOF9?#y>qVa)_Im_Y`LbUW(hTLI&5DdXKODWH74|(zpjE&PUw(oDXs&rLp=@!W@EH2hh zP8y7f25EZn3kmtdj^8J;8afdF>60`7g5hX7_gG)XVZ5fq)YKHLh=IuwHaoio+6DbVSC+ttk&P z9vy+tD@A7GA8AqD=3-mrK!B@si-J$V@uPF*9PO;?;w;^Esy3?u3w_ID(RUvANg#a) zXLI#m)M=98uK4t)toMnz4=IpTU*qZCy<|JYClxLIMZT?!mLL87-Xgl_1|P=c*K1vK zHWDM<^}Y8p1g`eF_HVvt#q_SKnA}XKv1=u+k!fa1AWyTS@!VZ~P87dkr!etxD7wG>B}-Q*JMes(8V^4W#}A0Ph5v7?jBy3aNK8?cAGVizKw73WSE1hZgG zcCIwvAyZ3~<;Xz&qt|K~=zde~TXGW2k>){~#WQ^I{wugg*=3p)wmcXU{@97IK`(pP zn}392ZJVj-H_JQBP?o|2^@?6KT5qy6bxp;B;>tUIt#{H&CUl+)YcbYtSL}L{_i*3> zXK_V!@7dl18p&dGXGnX9<{gKtKb6w>=U0sh5o?n~tq!lM-!p`XLhKME4uy)?B zeb#5pW@5t)sN$ZMr&yWPpd1_@*;f9`Xmxoo0z2eY3h{EmZ8;rmPE}dSx1DN^E2^`z zZyMec5*dyv@1RX_Go!KIe5|b8u>3MM;)@0PfcGhG+T!gu_93>g9CQ(Tcf%0~Jwc@0 z9vH!1>FevW@M;YffP>@HlAqA$IQzrR90E_dZa#)k?UIA8{i!c#U7zdMW80V8FQB|u z$udbX-5!6-7^03vE5h6jP1Xv+?Qne&Ns;iOgwA-reB7L&z+!rOCf*ZnP7(c41z1>% z_vsd|Lt5--F>tePFbCJcYxUN)d<-4B&gyQCGqw@_)D=Jf7T1vW`|10>3llG@N+CdD z%#jy3yH+z_+uvKa6tlzili=EB!!WYuZ*9#SyK=PH6FOJ!2~|IZm0&0EBxjH@+;o0u z>o0L<2-3B}mS2jH&6Xuej`PZjbCOKkDnHt_uW>c2v3C_CR9j3(DgXG*R2Kba@OQK= z{mZJFfx{wgj?2vx~NDotQN>6S&DG7h$1?b+d>T zuUZr#nRUHEWfOlz%QZYpbx5JhvW>X-P%M9b5z3sY<$AN|qH!I{<}b)7lsmUUdo31z(yR(xY=?MjUGHFx^#DrDUjK`=w}6VW?cPTL z5s?-VP;dZ69ce_mlny~aX+-H}2&F@%8|e@b5R?W1=@0~@1q7rehL#w*&z|@DzTf}* zpLNz*>%>~~`VKSCJaNaq_r3SEuPfHQD07ak!?9ULzewf)Gsf+Y-m3E;p?g>K7hQKP zOMR`bV_h|XyP+^nl24LGG!N8_RtSy6|n-(7aAWgoi z$y1&bE6FQdXxej-nY86VLayo6&HYg}eNRXTk#)7CkDn`kfff-)AB^yG%p4R57DUw* zJGfCu5HihuR;&L~?9sx+-{fBukA(73oy@xRab&qV`B_}nhS^&LK5WnkbuakaJ%J@?-~ z6{P&vuOcNo=jM!;dM{tTO#k0^A=lX`!};G2@z0m^_x|-H|J&svB?;*2{_Ao7bsC2s z|98*zF$<)+?qrOBA`FC>NJ|GaXYOu9rjdOY51km_k~0 zi$^)07`A}EO$KCIA(umBN=m*G$VcJefGe6ZF+u1rLI0lmMs)iNE2DEuPZhQrblIp~ zYV}__IX9yn>>G7_zxR;AZG!nMFbhQ*+L)XVN=fPO9Y>J6xw%inP%l_$+vPLtLt+kU z=e;XNt)cd7adFx3Ns%FzUCnO{+$iJvc1@U6vB~_hZ z>)SqtC4}CLDg&9=^e+X8!%v;|%#Q;#h+8R>@YyS89&#J*h?$o{)43bSyv|O!+mu;p zu5gcgyAJ7ueLad&?_3uzz5QoI%Cf3n!e^VTd;`+pD$ICA!@6#zGE~xX8G+#U!=nso zXfOudXtJ@PucW?}-2TGz)fL!Jrpw_+F*B8v`V68?pnoex5oBt_k@BBP%8GFyZ`ZSC z3;ZP$v76My%&NYO!9P9@{0?d>;ew>Wv;m*Hu5Va5FSM_8rn95bxuBHmzBeyH6H*bK z|NgZCPVxHPY})~WSzcGz&MT>kuM{MHKPN{n0zc16H@_t_5QnQg5Q>!$p_UYjZ*JV+ zx=?Wb2Mv*yuJ)U@*}GT9Z^<`dX23#A$!gL-&f&`l+e{x4(uhJe)dF?}bE-ss{accq znXt2Wi=j5UgOI(QqH*7iXX#~oCO(Sq#CgU(pN%~yNqBBCN;#{zwo+>>^Sj}#h$d4M zsKo zW{k}~MAEk&F0=6Q^Ir;<(n>(7&Xmxul3gJ14^VW31paL6IQ`d(uRr;@8XsEX^6~Ti zaj4{479aLFRh__#}Y;xKSt`!i1VEBbrv0eBq(if9SFFv%R$Sft2F-kK`6pc6M0^e zNr0Zry09oSvozY(oK-}4#(vI0FqB>#oRyMKvf7!l>9RpBf9!;=Aa2nT85npW`u^I? zr*9*PA}B4anvc6kV6#>2?j~Tz9>^>oXyp>~fbn%z;#C;OgN3-h9|tVp1=&2Z)=RI~ zO)pCj3aY`3$2t^BlMZn2QV)?f0n$1Vu;oabD!SM6=D~+$jrVhls%%t$b`C75pV;ux z)rv6$`{7+EP+w3eAylQB&O^osplsK>j$}eagdM6TM4Hx%4z!DgiSq_Kj$~LDvibl#szW&{NjzV~c&%WLj#U zHGU?$hF`E`i# z6zWM*NyG2vuNgaAp~BL*hZzUgu8TAr5V}77mkE|)q>{LQttG5V5TX?@FTnD zs0Wr+7`fVCB6f7#xZnfaLB*riS^f=nr7n~aEsXM%qoZSj=Im1UuT4CoHLhs(+N%Gc zZp=OK4{HH95GBBFi@o%Q=!Eed;>ZZm0M}Id8_-r{5l0+giUcvQavc zt7DhamAwF~82-c8cBKjPb^=dPkLJFN^i%)&$h)|_^ad+Mc}-e`dVp`tN%)41jrqdW ze0HCK=?Cs+cYF=)_v%&IGLVFJRTFSAZgREuxwRAD-k7*X5E^;mLQA)el)6b?u2Ybu zml@f6jyhz%jtK^6Z&ja=WAnN!%3J&^KYxjSb0#cRTVa#bH~J^T4bwkhkh{Txk=@1+ zZG@%rBkIL#1R9A>qQ}EF#@e)LMJDRS3uhA#zL1%2!{k9rzX{ubiRnMP%=kVxZ>pc^ zp8a`Yq0VMO3hf9l*t-xNcr3ALz_u8+U7tF$s;*EAj)H;r?7bdv?q*xW)=0ku#8Y3s zbjqm9%q+W}r@|%?^>IS4**0M8FM*bb#a&p@M}>u6dh$^PZT!unZU*86lpRF|<9IbH z#+8ciRQGaG@29+rH{~f01N;|Nbx6RtGQ=@kUerZ>2E0WJWd?bpJ3vr`LRzj=# z8eRg#s+ZVfx${+eWFwCV((MuuS+QwXmG30K@jFgyDRV9zH5=f-?d*5VE z>oo%B0#Ot%?V7wfWk_q>Na+4|LV=f+QE!Oe2MHsrKr3L-5Y* z1X2j`ea62gKFz{tU2>>203X)DJUSlTBE3yc#zMrrVr3O*gNpy!(D88q-Wa_w50vJE z$5JQlS?(aB+;mwoJALqAD?iWr_BoyOp>!=aLlcXOi7&4;u8k$9+tL0_Ny(M)5Q;U7 zuJD6}UrR^N7fFbNQ_8hvUDw}7(`Z<+$S|~V%)`0I9Rs}JTXYxf-?p_NtKx~Ph#$wXneOs z6f;a(yx*Rs(ZpoBSkDA}K5&p?yg-b?-pH0LgRyb?<&CsX16cF#y?Zd#h;yMpIw37Z z8cC%_j|)XLC1sU_ICetxKjs|*+YHTD79Yr(-MJ9TX=fDYg_%ICXMHcq_Yt4f zVi9%`L%oWWdCqaMMFO`{`@y@*lP>pzRRluUQW1@YZ&cU}E$lB@<>k>{y^d}y$B`%r z^hp13w50*WcD7Z&?*S*l|5#nDO4H$ZvCcuZQV0d~orBqhce_qNMKFg-nmHKJ-rnAA zVj#4O)QRcdc{TS%8)gsiiNF^71|kF|c?Qhd-U#X*Nli_S7ZoEvCkM{SM>)~eCMO5l zqG0~woIb$GKpMVsy-H_g1td_#81}l%v;ZPJfL2X!x?Qrhk}Oea1joj3Y)tOc0PVG_ zZ@^`NkTRwTZ)kXk%VU-HLgD!zrlo<>nKikbPzN;m%geNQKg5A*FELsUkfUzMPw zx0#(w0&6ijMOAXxA-J1==7%ox)m%?`_Dm}wEr5}5oFMN!R0V)j*mvRz7Jc@Uvi+ZM zoapFi*u9*?dGzQJ@YdDNPmQe9uW!0=3EXRXV}q*}g#UJ$3;aX{ajTWZ#?cNUjkq}M zVp*}Nvy&Jl_aU>iv`j~gf(T-8=Q=||;8Lq;+!S@H8gTz~c~m)*sv56L$)#N~!zz7WC~ z-45iJNNPF)NhT0Z`#7>_qYWM~N3!(e=M1a66HWlPe<-V|xg>W9OyVs-I8|B4InMqw zo=y1q)21RkI0Dw{#U8YY?RX5Vyny)rs#DD}yVXMXN=)ynd z*9jCRm0S?giaY`$dY+bcZT@lTNWu+G>pp9M<_!!(jYv>=>=nYdui;oS4PqvFN-0&} zIz!vv)_f#nqz11{&MOi_)z|uh?i721Bc6)&-!(gd7o5To<;In;ZM5d2B3b2=Aluyf zsO8&Ysea$KPK<#WXDzsjiDnQM8M?Xx6;$!a&#~bE;~Q^w2GyCJoZzx;NqBFXryCo8 zQoyi*!ToP%;Rvs<=V7pPcXlY?!^P4TaLSPw)f>uTX2H$Vzb7uei|lZ{8C*Ovf)HWZ zP@r^xqN}%)^r38>4S;k}E{FvvDZhx?1+c(sQo7e3RwWDl`jhdWUXC@U>NkfC z?_M#`gwfSp8m7ZBjPqJgtVoOSMfu2mnv3#6)R9q{Jp57*U#qaO5SclJRWWV4_DAiX z!-e4mHg2YMHQ#WUuzyrgR)F%&@Io_;RMVp8vd)jm@xC!$_|yV<959y=IY)(sOX|w~UI;vDmLwdB2D=xVbqkWE z-SggXR{#>g^iwj*>oNXRaJ)$+@zR|x-A-$BH~YOKcB>5VWE0m!pFxG&qqYG9=q6=0 zg6AVnT@!V6xhW<@esAZKr{@;uKfpjO(IWYK{d!g!`M3yY(R*MvO?v#X93BeB4p?#Q zBn7UKx7Lf7R_m9$qLH1ZCAF2G_p7w*`B;l}_lTZaA1Q_J zj)Qf8S8y6+u?O|q(GK7kn)i=Q6=68$DBQ8mv;xEw!czpk**K7WdnLrpM<7qdL@2T3 ztwUO8SWO4&t9|0P6^d=KKIQ!Bc>z?h%gbir~!OmJ7Z(zis|VjgJ1=Ix4BI4K=>;ZM;-^3D9Q9;2L4+n3G=6vM0d-|8g7= zvFu^y@KVre2LF5Vj*6=nIP`-YQsBsZdlA{zMWIyr`o#R9?886;YYYN$&bVX*Mqcr- zFr{AAP_@>#|Ch17ReC%J zVQp>wR%MZ%H;lfKLZvRV1Q*`SN)tAW9oxdT`(L+E(aky#&kqZ7yNxszeqhEA?6GcB z&s|aM+}zx60DU~z3#0|`9v5eS6)>I*4VwfPLMSGQu>r|{&f;crh><)880@e8;sSwo zEG^hmTst29vjChfP>2EOBT$%W<-Yl`WqL2^-(B!Sb%Al1bg7e$y!W4Q>i=pHc=!J% z2>G7|{pUpg6I?B0g9gtMkAS|x4x0bz{{Vu2_YHI6zx(Fx{~l3Q`z?q+=j5;yb*{5h zpA4VL_gCWHOj`%WLHK!gF_>rexxuST_wS`f5efm$gL^gka zSV-3oearfq&eHc!Gy{y$F<;egkX zLlRWdU~>S{3j08Kg|zfjutQjdP+|bec!VKs)m)C#2t^FH3L&)-jj5cg>LX;9f6GWn zAzgCc9}+Nkb;3gP5`WlVe2Wv(qIT$Y$q3FDm_ZoQf|uMq^pl%y7>LA3>&zf%C>Ql> zqq3vnc{gpdsaM0CnL}m~5q*+iowMt4}Kh-B9i^<3+&iSj4K2266WV{2}k`!?uv zuj*_g13EK*^q!4Pt6qzJJ{A7H;%b#gsc7)=NmN>USh(UYY40m1&Qdq5nh@Y%iwrhgI{hUVal^gC)Dq+v1n9&4-8o%J=#~PKTp_WzXW2Sl znNmOfS&!4<2=-c$)DNPV0qqN6F?gUBZ$Q~YNClXMUvbB0Amhr6x2DVs9^D>@sf17De{R6VF>KLC)9mIirMyJKw<<|=4yu_RB!D;f5PSY?CaS!w71+h&%a-4n z6Teld*4MFjt(&a2;Ee_b@nFR$=0VyH`|G>D_w8BUG(LT>3HsFBkCc^%vg`o8@vhrc z-DuHH0Ah{PJ8mmbfKvuILSQ3%R)#qcf%||^wPlZRN{^b}4j_&JUTe_`w13lE2au#D zpAhLnJ3#E?2ihUHl8svNE(e6#;wvFK1HS}#@p40zUSU7&kPon-2j}(axYc*=bfk9_ zX$n$@WKqR;qJ>^orF+!DHCQ+*#z@n8!FSZAse36D_LN24GKOCP?jBmp_}*1@J&nBb zmvzfIvC5x#XE81!z`FQq5X3uj-)kZy8>YM$lj_}Fsa#2t(R6d;#aF#Pr&b*UZp!N~ zJQd}z{=tsH9?~6+anRe@CLOWX*m4^j;0G5~>c$&uzPw;X5a4KN;HZ+}If-0V&24$5 zCEB51RSTQw-UX{9)Mr3`zZZk9vPA?1w(zs;|bHGFt+l91I8g zW));vk*^p)rJ*b@TjO4$lmPu?Jof)L-hIx2N?PECq#OG4`A!sb>8?#%zNf-xaZE+t6Sc$Vk>5mR?k{g;o7A_%E7DxF_piq*bkT?IOE(Nr8M`6LF2mZx>AmT@Gqdg_XN`gVqsWN=q|Y z3s2@TZlVgJ9+EueFiQZvy}L^qq+69flI^pq8r42GY5#XTc%}HhK++N&WzhG(o5=8G z9n4;5ew^);%7us<@{}{1`|Je-hv}xnI6$s%GeseK9$PWR-0u=WIgvtlv`Jd+cp&6L zbyMePhZI|gt3`6);kEug9+AZY+sHoiZ4Ix92N4;%Rz_zC^jABLG|oF=Z8y|8Oqf0f zv9Yr=#VXh{UX$WWZUMM^6F!toU4h^iIV(xR$b|$w^Mvik%R~2c_dAU5!7%infwxdE z3N5eH2Tc&zHtS!8_XY>=4V3S*ypv;mCr2C1N=1~&;=%hw(cs4`OTT7=Cw@gBVVdTF ziOxC`pX^INs0!-t?oSin>yyn+`dy;68fQOvRZ~}Y?2WCVVw5jHOfzGAQ=!CaX}WCL zQS)?)wW1YMbm!;fq-|~xRGE2pRxZ;vm&_&H#X_^Ne?MCOS$*@>h0(DwQW`ZFe}MO8 z=W%^qrhH5Jn`tRR3+u*gZB%%yOq>0 z=f+`+R}G9f2=Ufxx0L_P>yV00p`A~QoUvC|uyS;KGDthh3Rt{1;o;%uY`Td3@V}cnjEtOvnY^C+6JSzR#d|QPe$hoD_0wTOp=ulb`IU0dhyhXP0(MC z+3+|2=OioH@0s_<_>tFK*;iFtql|#5&OBHa@*CMRmbXnEU3uysQs7(L*zhWKnsYQT zL>RX6Cv0Lo+Nb?2?xW46!9Hd!l6Ls#4~29Xj#U8Ul<9nkaC-U$=*sFR_7j)mMr~e+vWe zMRm~-o%b86bw=3B)_&FKe-C{Umm!cN%Nj{SI}|l_pDPoP79_W}FmxUM<&?NU?t0FO zes1tgW)`!)YO8H%ypvaZV=5G?AFRVQn#kijO@4fY^^}?NV*YW&nd;$)Tx>?K-l2x! z;BLR7fBBp`#%+d(#wnygw~&3`$*F=t=(Fw)Xu=);ojSF-dy!P!Ax~vBWiNwb(v!@_ z@bV&l@x^V=I`R|U6Ihr1yW$Obt0uW$u7#(!&2s0*wi}aJ*a-1YhtN$OvLhl`i6q_1 zkA=OO#}@8tNUZ!Eb+9oQC<%ISL#3i%>YDlwR!$O&7IoKN^UkkK@ts$L6*&rH`CWG` z!J;&uU+wV!a)~`tcN9jzbi>(U!}@93z=M6Mzv~#uvoT4+?6>}9bJ-rQB{Glo-aW!^ zF)Xni>*CFbtf;FCW1VFE?O?OiL)x6k1=!7}Z1FU7tLhK>eksrmQ{tza&Xy;|G0Xdx zcYVL25b&r)J&)^SPAt*GsE_B4ZYQtOh0d#dds71J*aQ!iRGX?I8g1E>A(w+?!yBEg1x z*Xj^0RV%7w%X~c;JRF{?V}lJOniMSqOET_j)dIQOhFXd}MG+aYIfHWrkg53^*QYm` zFFP_m{v9)Ql9FC+Fg#pu`kNojHH^;bb+J=n&w7u`4;VJe@6>CIQYPOAN50+0FKn{) zQkabWh@a5wbqa?!?{oivH}mSw7U+a&)@o9bW3kQi z;t6eYHPKp!JCwfaxXqIW#^%@WV$-0sOdiILk3Z=~PO%`_VrUZK==NuIOoE^NT{^%R{V++g9PnEamTO{1oaO z^*Qt(pU;jw8yhCQWgR5$g`Zw_TCj!xP~{3KE@Lfa>-Nz=oubeAlrd!C3Uz zhKYv&LL{87o{ojRt`)|A4p9uwpVU`I*h5YYso@a9IXue(>tv?rC~!fgC2{P!E4dDO zZ1wt0>wgcZT>w99DM= z*@vlbj0C3pOWuxFt$lXWO1n@8PQ^Tb>PaTdBOFM0NlTcu>|Sfx98cI&l)pZ1VZGxO z$AOW|-a?ettoD{q#|<^Fh~TGcI}tzF&5cy&s-_zlGZp zBK@ddIOyPc&rRMmiTEsHwVIEXi`Re6`+H~@xkhV7G0%CYw9N@eS8jeI{fPS5x3cI* zap|cN-_7nY@QA>Yf+19JT~x6ow2B|H+eyjO+PA|^q`SH;c&HLerLH5BL?Ot61}c$INf~SnC8o(^G+rO$LG{DnKD@X*D{*#6}dmxMY@AZ$vTAZX4!oY60Tz8l4*b?^uEkYpb^W(x+F8%TcK7L>hZ_31ZaHcG7 z>tT0pU|q>*GqWYPJ{^IO?&K#q@_)Bure6QBC~lQ*F2jI#iZP$4rv6)6U#Mb{?A_Y$D;Lg)Mt(YVeD-j#GK9#lU3V2qG&96j_Hiqa-5Y~Y1Nbw$c~q+1dPiM)Ym2f z9#^ZOjBFwNa+_q^JG(nn^-M9N4YC*61Gr8mZS|5h`KA>r?L=Pcp;hN#wjrchIY#*P zjz)N`m2AHyV`f}7TqHew*8Rz!tScMLFYu7=AyWl=3pJueItHB!4R@#L)>YpRr`%e< zQbR4t#o$|TWamo7KJ_B`i^1~5-LBJ&O+;2df0^Rn;+I;>>#EETs&D)~Om~Rrzo;(R z`&qURL)vr;H+p^b(0@*kG9^w~?s}9$XCNxFcc}0ei-%t0ubL;f3ZQQCyOS!Em)1Y( zW9#trp&y3+&!f=LLeo(Zv%s&9DMDFB!;1Jr&ASh``R?_bET?C&RsuzlqfD4pjoObLDSubSK{>x zz)lDVGnLUdi63t;D450LHO|c3z*6^$0Cy-dm5rqgc0PqX^#I8M19i++OxtKB?x9pt zUX{NCywyzZY`XoF__$E&@Z8LUx8pvbBknz$I$K!3ncN*GR#9C1Izs%E7E9F@h^@g)zMY?qa-4mcg3tauk` zRjL(USqZzF^ya`rKfX`#)4=cEi^Q0^2N4=9u`Cwt_VV$!YMoAbTaMqSrC~|)vGv3+ zN*$l}0Fu=&~Xouj~_RI*C^->z~JYuQN8`r4nIZ9+Yz9KUjdD_X?ND`&~}{ z4qq9zcXFbJx~Ol!K3{xoWH6EF(e%?9s<+=h6dLR2SyXOqU9Ua8Ts{QFNcK>Y$`%lJ zMd!_f2k}q})wh@70&Ii4<*A;#+HB|J6?BZ+xhEF7%q?tAUtR`2*ZLVp9v&Xr)N8F! z(j0csxK^h!M1+rbq40BlwLWF>HcD|H>Zr5!$IGpT?J%SA9zfdLR(qzQ=ghqR^BJ3F zSUUc=%NBvx$;xw9F@7ip5jI_G4061q&>Nl8NTqz|@e0SbrA7Dg3JJCjvcKADo+DID zH1}sU&#O;`X7L^zQyy)#m>RdQyUtf}yv9$AMCwk;)+A8qookQCX4=G0xwAigQcTm< z*8YZlJSY(~`r~*mxN=Ql^3@-Wu1-M}SG{vVxbMZi5!iaqN~o!Pi!GtM9^s6fslMYH zQMeF<49@+_d*pn$ndyCS32Jkws-^+gzmfCUljxo7O(J$@wJD7@9Uae2vEzY=(~D4F zL{tE!X0uShe*Z1``71i9F|xy@iWKa&n|0dYF;sN!On!u@0T}HcUa1! z4!^3$Iet(mI6Lq;3knM(^&7%=eO0d~S>ci1Ep}H#^2l=KI|wyNBG5UXQs1JLL+(ao zpy{A6X*1$%I|8;lEK?gToL-muuSc=O56{v;G^2yxSF>q9fZ?-eGbjUWLdhbFhmT0a z&b~in`5+&rKFiu9b<3vc&isnF!7g;Wy|WQ?7H_E=O_XV+^(7~R0qPD zvQTW3BNA-W;XizeF3&~W8okVmRxK;DJHLN=u+BOkD&o&syMgz$`s-6(e9=99_J|vL zR2wJ!^+gz@gB}_oed>iMJFkVF(iALQ4bZMVjk_10mYj@bj@*PR=Xo@Hi6~&EqFp>C z#xk)y5?U9FesyPl_oi-J5m&cTQdXWBF-zn93zz&lHPH$TsGo9nfHL{Bhyo+U&q2GN z*P6r9tpAvaA0^H>LKSFR$&wM4Aeqchwyy(CGo|w#N+&;Kke>?EMt6{6S+afZg>`WZ zNi|#nU<|a-bjr`g!)ALC{P0i?`tng+Tbw*lL;FQxCAG?(3-c=wI_e76-lFPus3GQg z@Ih)^NcjgGyY*oBNE zy|ciFGCRiyTZ=)v$g+;M)HY`IJ~o!@T7hQVGqP=Nl%utk|F zTYEZAPS!8-eouory}T07x|)wPES*_CxA%bN*yZSN1#j|BUS8h*(qnH+OOjjiY7?Ec zTl&XP#@_c!M_<1cs!Q{LCQAHQa3}9<6VSzE=9B|v0Ed~6> zAlUKy;2`{qi_Y%RnlxaHuQ)0a(|N^e@Vc6S8T9>i;VxPr=A^#7Okpo$ptbePa4};~{*z>%lj`k8Eo6aCU$5Is&3LU=xD%arjGrp_c%sbfa3BrazdERf z6TV~O(_Et`DTMJr8W z+`FJ#f0hrb^A}jwKOD;4Mw*s`w;|wtTAN|<)Jyzm*!x6tyX9y(;}$2`T@)tHdly*h zY2`@hg(CW)P!z_3)jT=)0R#L%<5O3nuUBdJa3B*l-S7q%!in9PV8`{h1Zzr5efXz5 zN^KEYj-{7D=HjQvZi^xJF>sahfrTp4JaQAB1UAxK1R6%U*akwnC#-Xph&^BfTP~i| z8@RLc3cwi&9q96zJC6;-|6KlOrwiP}P{qY*?9dL?Fm{dzjc+!PqMRsE#2 zQp5H^(es8v1+Jajd}uZf4om7f)nCplj1W^YdqeAW?y6YD6uPOIUy1{LQ? z(#XVu0(SMcS#2Mn>irrNr!R?yhyp={U2hesRqS)k0!NY1aq{i&>IbIHa1(pSH9+f; zn}K3$K0QJW+dky zswDbDOS?SAF4%cQb#8Rlv8Hl-`-7D)m!keTq`WLQ@yx+UEasZf&n=aey}rwu1!jKw z1v8a(3NRUw>a@o$-`)uKld=xF&{1s(WtuneH=nVpmKFh!LG6P zesPlU_n-$j-M?Zx^CqR9Z5z3|y7sQuuhMlu`JdjT=t3(9&7{_+W89KClqYE32Hi!7?mn0zE}uzCOzg9c>4Z=;#8(qB`Z@>`&Z*+6s*4Ld zXK8i(7o%nr_C(JRYltn8Ig!W*co&(ov?X5S6XN3GUX028$co!p;h9%a$yzX(&2KYg zYNL_2RzEakvzxynpQRS-`!Tngg)}SuWzmB`<5Y*9P^N%{JL}SM!eY|<6qi!pX)mBO zO#L?g&`fP!Uhp_urx&;7kL~O%ssROs3BN3uGnEWgbcUM^u|OF}@C!XA9m()_38I_h&6s4UJC79X#2lr6a`{a9~?`$<n<7Bav zV>ZP$LLod0s-xYk0L+lL{hhYf2L9{ImRq}|ZWz+4Prooo`nJ0w!Ie&?zJqnY!ysoZ ziI7HdaH1q{-fO<6n>NRg{aO9fO-sGGMbjG@q)139HCXM)@f0=ZSuOnO=pf>A=R1dU z9Liw8m%pNQHKOgN!O}=#bh|Ov;?YN5eXI@gf_;?7$Te%8V*D?dM5KgT32^ zTPzWj=kH>f>_DmDvA=WhkV9Ndb3jnBDy=W66p z#_<$+T3=QgAc`9uP_dj>?Q)pA&TeoeL>ll{7dul?MYGL=Gr5|`g`*xa+Y7hrqBnTF zx(>}Qu}hU-cK25;Ubdm1Y3Q_d<3|WE-0xDj9sNo?`qAy_9hX~M4XLvjTK|VP-oK`4 zZIk%ae0Q5X`?HNFB!5la&8FKd^*oovj=j)(NjB!UIap09#%>8xrF`NIQGj_AT)9o3 z1^Gy3wtRCM5Yml%RPtW=ldrnG1r6D+nSO}c*zzBTx8-Br?!KMv=m>tBB^*!FqW=Ew z*HDwJu6=)SUX)Um{5_!xKejAgUnA|)<0g3Aq!Y_9d>s~c>pt{X%V7>< zUFU1*2PVqF+@{P*%%~UODS->v#N zL?FsmCA;)&sbzhj0VS`ep#Tvy?c{98AJomU*=oC&eo8|9e8Q^f65}fvkr4p1i-$N-yafVElxlh z1!vvi5DW>itJZEt8#Izm*lXv2)-(kjAWX(hZC8@Iny0xuyci_VgGcl`QY&l43*D@} z!94cq;#PMlgBoY|L`q+*i}sDs59_X*88QaT`WPcAp3p~*f2)!?M z(rqnQx0z~LEvYWj+OTkl9sm)p%nv5Z=Fb%-Se0`FLBrAHk8XXmuPPFM*ywcVKX zN)beFZorii025B=ji4P0+^A@`korY5oWlC8>bop6kLc~cPdnADgxhe$D{?=kCOXt& zTAy{jx1!EKw_L}g>!i$-c)dFaCr{bGQ;XU!{KO8ZDb~oild?-Zk9Moi{Ha4wM-WjMs!KJX z1(K8Dzt43}R5*c5)ClA~{AVfm7*YpAk5pE!35J}d3@N&Rtc=n`QTd|Jvnzl72sYpK z(0DucZJb0Y=Aj@f&MX}5f0RDD*JlW1y)VQt`?T$%S)$pkcQ&;&6@k$u0rOa1%k9XZ z;2-IF4G#i(>dtmsw4uhU8EwBcK- znuB9l=5KcecVF?T@~OeqElZnm+gXDwwRhilex6Y1ezoTIXxKiDYstTR7Gqfdlc#X; zfZdV)jzPEI!q2fWt9`G;rGWOHx#cFm^ZBupSGq|ZMX(vqxLJS*MC1ysB}J0qK&&YiV-` zX)7@UZED>LTVCEY+HQ1;@+U(CBxuVVNP*@O#5;IntQNyS%N+DtQ4=myVucem&ci2< zm?^)h?M3AXj+op29vt*@VY3y8*F37T+BrBq_3H9lNSdK5n*r4fH5aN<*UuW_^aw=j zxnWrG%WGsusvJKxWiDPNA1vgarR(}qHlucQlGrYJmo6)hw0q9q0jNnbcK81Badyro zZ7Ug?n}5EAkX{Qgean-CkS;(ic1yP8AN&xh205|$x-JDhtNX$|omLjS3)HGg;cS?% zGREogf#&CO^*9u7$GC^P16)4O^#ro+%q=Q)@}$LX$6*OOjXO9XjFsbh^5n6oU&q(r znWN=*9vSa9l>clm4e%CupB^l{W9Z=b<3*=6fgq&*)*%h3mMxvWe4^zzEm*rdYQK>b?#RB-XIzI=uyk(@K=e74Ai$7P84r*bg+6V{;o)&$wxCY#3 zPp&uY6wgU_fHDjVk$Ekx|D}1H5{sX}JHSW3I#yAX%>)QEP{NFPJJ>^9cf2R!vCjp$ z_~jkQ=>tOz*P#E5bLM86sKcCG_owF|ZO%0nNW&LOt-9Y^f4H2-n?|{Lbb=K~$~n4~ zdZ&ivS=7|$@uwYUU33a{>jqbzH+%O24dpLA#$c%%0v`KzV|$Y2N3RaImy$wMfgJgF zgLgv)YLOdlX(PbLr{Tz*TU7KoLAHfh)pSym2nRUV3aV&%1q1@k(maQ#6jQBKsQf_n zV^D;}76gf~a*nsyHid`6=KN#A)Vi)3!k|`C4YX{-3l=Fn>Nx^ES?lhVG?$r+Pb)N) z&@z_Ebjt_+a4zbsf?H46T&EJYm58>YlXll8LdLW8o@focu`uXS82|~Z;_;gC`w>{K z=W2v>?VD~nM0MPWU5R^1p{(oQ@?kEzB;MGqjv~dqPml?!PZ|a--j!fK{HA%l zRNzysMxfs7e0=5U+BW-6CKO=N?N*a?*Rhq*;p<&O66S3UzzuX5auO43q9xd+nO)&dQ{a;I&JdP z^gUlfb(YxO4Dy1Mz|^ho2W1*M+S(R1G~ZD8>UrvbuVE*Yy3nuia`0kfCnQwsE_7s5C9-J1v`P+q^*A&`wgz z6xGo{K~572yp3s~)m;?%eK#mCvp@Z4U!7Our90KLM>G(z_51jHeQ&laktYxls6dIl zY4gLL{%}$3;!4Xj&BKBFkYqRy>L!hlVWAkOds-Yy&pKwNYtntjc~-G8#W(0;dHQBi z?o0UDE}0O^b^q{y8SQtL`0_$Q=Wbq5$$1JaSnSM3@8s|sPIro?J^I00Lv||s{e}oF zo&B5 z=zaS(ap6)#8xTn$lE zV%}4htA^@JEFDj;mXy#IdN@k&vu`yRwWC~(2UWsjWV!SZG9M}Wx+^w$e?u%{Ps#Jck0M0^wF5zO2+zbnKh>h6`!SE;F)k&yIP)A}w2Sm8Ol8GdG zEOx+*)g64~a))Y9aov+xYTS8Jvv_-c z7&9KZ{9)ti*^__9GNTDC0dQ5{m~@#X9bn5lN*Cey8qi|C_xXD!OtaeUennUkt$t+w z(C!htBV%}za_Wzr09LKB7R(sY6mZzSTJXn+{1W}s`gMaZ2nERbZ0^=_c~C zEiY+~DMG8W@RL6RWWfB{lmM7SY?!`rEA-P{ufRPd=UW zFZDDO*XYRBgaUyX0=UAaod-rng0MPt$n4~Hw7O`=nk4LCDI@w}Kx04toq%cuQ|s?j zL(U?i0CJt!c==3R2J?cA@2g&W@&H*)(cpwZAT+}ce>&@~LxR*>yGY=(ql~!6#q}CS z@T%^O72xw(!6SaVc8$m@A+x~z_e@x9G7Tam2JTn)u@^g}s!wr=i$@sWySqIIuI>!>@Kz=s`@Z{O=QWm9$wKKH zyShR*Ce4F0K!P|Jdq3p-2|=AO5)x@~P|*D3=a{Yt5H5>X6TOp}2gNLqi*bni}_3DB3= z$JPF=O2l~tWk>+=BK0vfdK_gbu*noEvL~1J6Yp2vS zT*N{hszSBcZm-VRTw%RWBax+MaShAVWn00jr5mhE5z+R|o*uMuSYS4n7r4F>N_nBJ zacOwI$rLnCz(;^R9sSwO#~(u3+y;%<+1OrZTL22Vr7*9I4%M9Y6id$(QxRMXe$ApMzwyn5%N%8(Ij!w$!!EZr^ z**$d+2WM)mp{?O0-uqmuI>%{SlZs{*@ky-L``#4(Z8Eyx4B(^MDBUuXKf-N%;RHhx z4+s}Fwe)`9;*u)Lbv?XECj@4Va1nE zRi>;+f47H*5EyWnROdfnWE6auekrbP_8aP1!{CYTJiqn(&QyRI_0|1;FmPXwBi>Rk zrCk{y>i9kn+n;F83|QUT{|^@6$i&wq%RI?{?5{@X=1gVNba8Rxn4BI-Gcc#+d=a9& z{=@hluXYV_4Pfx;Ozr6d!lf<%JOcd4xprRe&On-+wqOn^Aoqf1V3zG5ZVQ`t1|${l zEe_FqCpU(yOH(SnnNL}+NZK!Ll4`hMp)2>}i_ml~8s$1RNhn5yiRYoe4x7J095zeuD-e0C zgMP8GvDNiV$=!S{?lw;&nvVQckj#R2d#&}#wG6R0eZQ0c`%K>Y72B_}+&cW@bsHrH z>n%n6$3r{1kA7ZG$TE9%fSJbF>AJ^+F@E`}SeAW*xX#Mx1)7rKvEj?t!}r|EwT_Qe zLYa9z92Q>+k(TBT=1y1U8xQgn?`Xv;w5asi6_Dh2oAS`dC$>+`ZfZ5nC=p4kvNt>z zSvk8>=cw|yX*W+cg5(Nvuq%GDal?ky5D-NYb(zT03BIEy{?yaMu z?4tisYy}ZT5Cj|)L@5c0K`9jhC8W~<1ObsAy0JhSkq!e!P`bNYT0lBRhLr9Bsk@&y zzW2B8UGMkLyVjlcu6H3bJTr5ibN1P1?@z2dOWBeWl;}Dp+sqT*iN9OzXulb{7Sl8- z?T`CDy++@Ki)zXQHO;wd+^%P=;KdaB3IPElzj{}ym22iCq{<6PI)dyvdB`fl3hR`=@+HO~BdG8|f~^}07A1`@oL)JwTnW6Jdc>k`$6O;}nU zV2nvw4UX&xcM8X@Up4<~Im)kN4I5s`P}T?;tLd?~+D!u79wB}6Av11QBr#hlecr~T zJb~>4wVK$r2nNWW+we#LFA9 zE=VhJUbwNsimOLB15f~{9eZbf+eD4aD$(jki>VYBJ_BVWx_z8Os}f%^qBvqb-~Pc{ z)GvaYk&7bJKkysFN+P=md2@E5V_3gfgPY zN5@|+SyhqqLM$bXXQlR~$ndW78i~qx#Xec}pX}r(2YIEKq`4eYuY0wPO}~9pF@@7iUY{}AF0-lCZBe$)n%{X*j z;$u&W2WzlD+uE#}}$hCB>C_up+Rb{` z+70ssCzjMW2H)xZI-9E<(zk4zqsF=KNhnLAw)jrG`%x`j9ev-Dl}K(jrlg(CdS8Te zg%u>tIaXt|i``P!6mO*t>?wLw3dTrh517{&kcda}?|39ThpGQLzEac)V3tKC?dZ2D z@QZB066tf(iu9cn`CVwui+$RzVW#P}e?(M)u~$EvVsi>Zv7lVhClNhtfCdO>_R z;nSBZoNGBb?~IKw>dmu)d9yzD9OF6f^E+1q0zYP+yRgE#@MAi?l|0?pqHg6!N|BHB z6_l3~RSgr$=xJ`+PpMY&KAicNQ$GL8qc;-5*SY_GblyI%HN(R6-w*9)u)W;>UmkOE zNMY>1A0{7sIoB!w`xBf?=L_-D{qGOJlwg0&oBzLi#>?osA$RRA%aQ-8(Xqa1cncJ^>T77G)5 zaj+BqCDi`DLZ3QSJ3Rrzm3a!fCJ})v1VM9q-KU9l7ACm^ce>ZTi}VPvprBf(K3SG- zDZ!C{&i!@2tJVU&kMwiTV5UuS^{g#1{4AzIm0gQKpVm6r*Nju7VhMOlei zK$R3Ex`9B#?jP)r&M>&Z*yqA`HeBWy;0IybOu>SYpOeVPq&hXONUt51XV6*7)8~7i z42iYfZHtE(o4^Bu4Wfum;&!)lvMMEk5SUs-zFpM&_#T+hhcp=~vQkkI{qETo*m;ldf^9_`! zSf1BzB!RRy00{@Zp}ho`2QeZxi|@Hx27$XCKnzXF0ct7Jw1p%lTJCtXoRk2?)6Z6CI2J>*rp4A7 zMj^AWbWud69P^Q=3#zW~Q)C;QTHfo^d^~e&4S;$lA>Sj3w>E=gB zz>gQEjkjj9Dk(!_ejLKe%FFx0!BvT;$m}!w;{nYy1Y6SplWy1JpoEuV!2AN()07YZ ziA#QC7;tl(+}v}}N~`vMKM%tMviKz5S`e?vqlc5U7#hcFFA0;7gen|SIPp5AZ!fFJ zr-D)a;2=2`O)v8k6vz1+Psb#kJAFL%z2W0{5ch`*>R_R@+Wkq7ODtoS1MMjshf0Q_N#f=L=A`i^ewj!wb-HU(s z+rSFe2|J<-nDr)H?iwUN0$On7LN2?KrJQ^@Tqgfg%Wpd-+S3{SH6RWw1+Pt3?E*0s z8-Oyzw8zUNQYt4?!M56>1=Af)^d*|0ng&{aR{&Ps6|Uzhg4){ikky11n@6Q*t#g?1 z)^SmkR|4x6kW(+Hqye@=vu&AN%4U0GLP;>eil-)ll@#87{T|5@J;Iiy2dhIMuzG94 z3Wcd(VzYhcau(MGAxNa3@R;~YKmSPq^2_}4%nWB96X*eVAjAN0GYDufB!Y3$*@I}! z`kU`tz^C&~?U?--eZa)(ToW!#h6Qe(rBJZJo1Smd+k2Y}-m~7B_#*unqpOibClR3B1qU}6YVz#XN9?ey zU>aDoXj2?34-coVPXG@-V=_GeH;}I3*&_xM;xBNVJsHLBc7uzH;6MGTaiTlb^Njek zN;%i|2r0?ur4zrx4|Wx5$)@ti z60u}O8){wXH2G;=p$_5h!PV2*Fpm%xB9Mpc$UDeKIDrOfEo2@ACIj!}u94S6=@^V_ zIG=(;TfxWBZSq(+sPa`*FE}u3;)Du5B0RDxZaO-B1bE{nMo{XlLPzOC_gRJBf`*2M zn#@@{4S2Zy2=p+J)8O%y++w#d905sI{fl1_vw8;Y#oiD>!kIsZ?w^4Cq0Aq-8#H7|HZIBs&n3ZX}YW;@Bm$_WY z8AGn&PmRb#gnNnLuq+IxRIn>vBG_{>6dZ9BI89DE%UY*cAZP1q zJbAAA;1Ke!oPo5&ifSsedLe($_kFA_xf8te^sO#be77=tQ1x%hdKr6h16Vf<ws+xYT^N28#xeU>EUX~&f~idch-9}b2_ zaOOuL+e;9j)|#>S0HHCXG(+~<`^9=ok*)nhmLB%!&mZuCN4a%cK>r5`KN|6I%ANxrJ0!T=65dTQuWQB{#iRnY++1Y+Y)$QMbP46RS5;w~%S| zbZYkW3{_zI%k&4OUF7v}u3CQBc%8MJcx*~q{a`1TV&o(Xia4%V;|>1_SBTu?tvY|= z8rQmk^>anXn;nb-SA$#7ziZPoEysHM3VA%;QS(gxH(uU&Al&`_p3LR*CbHvIj0eoY zEo1C$Ovg3#!idH7c;agkOHI7>+edwnP z%VF|53Fm1`^=wU5^8^x-M$r?NZrCG^`wk~oR)mJN&;>^eSP3_Ce!+Q}x?Yjw$x>Eo zn$_ZEEb}3&cK^2hHf8luT8>X09KdL-8aB4x%k0Avhe{ue%GGEr>&reNLph_OjkVbJ zb)ZQqekQ<^H^>z~T1!}v-17JMp~D|BA53;X-tGI3SFhRqkEHaarlu{aYgOBvwVQr= zLGLI}4FS)0^;vjkIPZSF(4wa!qpV}Z*^D>(b6End?`c@84<&w*p*@&`r#u;393#(- zF?}`&dqdrNjQobsH>f5^tjL^0R}{V6!Q&g7%c<+m9x+g)wT&7?ZGXvo=CPrM9cQs$$lyZ%^_-5m)- zMq>@Fu@r;59Vg9TWrYiDk591Ay;V~WE*T%Iu~ndJ@rR2vQd8` zE7FjusD1#Y$@qbH@ATlZ6?qDU(qsO8+9)qALv>r{Nd8DPLCo1KJw?jtG7mA~kflguC2-*pA zF|vjNA`RSy9<q})4x$E8U5S0>kaSe6b?WM)1 zE1OLB!q3hGHHeTNENb(iSfa@23|5w|hv$-NkW%8$P9~(Hyabk%Al0NQ7HPz??rK&A za_5W6lv-9%x>AWvFDMY7{%Pj7baOsJvA<6eed3}W--rzU$JOAQ_C}=Ro;n-k#nQLn zci-M{_!R^7@mqb&R>!H~Ekd@{Og8Z|13P+N$-`kk0GLpwz2uSC*P#o%TMej zdq|+X#JyvJ$w*uxU00TuD6r!#YQf5J>R#w*K zhx`d-N@ZXqrisCXVa##|tk-9hvRu`#?^Mr!j(=JQN{o=tyn$OgUQQf6KT3Ah7#ye> z)-APbx?BUIc1?UBeFF&d3aA%A&sEaqMlFvM^zvVL%2|uZF7XfCkI0*maNPgNNzo;eC==y{mG-&oAX*%Z&SW6g0|0ZqENmJ zfq8PPC`a6IWm^2;;wlv@rSB7P1z!tseux41V@ZGndw31?lwaM|Ot->Uz_-LygI+fB zY0OR30WLWIGkiTI`@%ti54iOySHmyr;G6KAk2|M1)IHP*Hs-0CALXt=2a8?5zS3vh z%5x`{F!=E!D(<#DDd0p@(m?maEXa(qcet`+4ALtV%n-B%L$50sG>fxjIT$*81_P#r z3us{cA&ns4ZO`>4W=ye-Bz9q{Efzc9&kNWe7OcI4!z_D6dq;;X+67xxE z!O4kMIv7>Y+`)VbxJ0sjhpV7RXsG{sTxfW2nX93(k-BfGKl{jI1&d>Vk~6z1{0b0< zZAC&swZp@4hHE`{j7b0?bjlK6kzV8h2={(B1HL<=VmD2nSzf<=`!;~lpKYYCkAaV4 zk>k=w!XLd|0u8Ou?aTTL%}e(c&R8LtK)!wonmSC*Myf8V564CjNAjFcfplGVvr%V$!KzZ(I_|BH*lt-17bRtzZXb^@!U7;GtRR98 zz%+q2$m&rQZH8vGQa|QhRx9e1dA@WuK7Sm(r{dOeK*wcgXD9tR{^vrV`0f}5Dbien z8I;vkXwZ!Qmd$g<4VYA} zX(V%rnvk8#kRCIHmMr;#vMgWG*@z}n=@=h^WKTw48^B7>!HU~nj>BHG-AmfZqG z4pkc5^|)KM4S8@4^5jgFt<@H8>OMg4jsc2|GC0S=h`ywx#BOpGI*`yv)aDDmxf7}7 z21Hh1FM&t^`a{cOHUa$dukjOGU{FofXE{H$7Y<^$#RLZwx7WkC z^{+cCKw~-Zl;8gm=9Y9${w$nj`K>#yhbMoofK zc*EWfk-ASD5Nma=T4^GHcnKbU>;_>*i968Q|V-}T|TFP}Zpc<|x~VlBzqyL^5GsH2_H^X8;T zw-(|4Sjw@6%WQ3p2X}_gnv$kkB~mcDuZJYOsG&(m$m6g+q5w<1z2k{&62L1~%KqL$ z1peNilkObujrcahuXz_a(fQevBV-A=4QE#i|J zH$E@dzw$gFdZMY}+|5cw`ufux}Pfs9FQ*-9y%jsnIKR~jX;$K#_J>kz$ z!(|cfDZ%Yu-1hAPWRNZ{+FQcBvZI3enyV|-4&6y5-^ob8yJc&0$ciP+G(W}PC=rU2 zJQq$KYyKny7a_4;8Wr7zVeu>a+3+a8IGE9Yt7B@P?p{fs{N&? zA-OhB3A0BLxqxNlsAu99Z*T)+YU@$Q7=z@=zE7U}#edBJK!Pqmax(MK!=-`eIk%sr z?#B&e(|P&(1=Cy8LeUZE7`ugN%+oWig(SH^(gU3rYq(Q&1m07!9dT(9Ew&6A-RNb6 zi}$Xv2iQQ!o&KXEn@B14XNK91+X3Br`15Ziik-zmtjID=Rg^!&r4dV zCDT2$A_m2R3Lb~?Ltl#Ay-k!Tgt5sgp}kHd58S#kiu?8)DE@lx8{upb+7&62N)_8v z^eP??1kk9r@WPW{-yixH3$Uc*bimY}Ih4+rvh7_H{t6jmU`~BaMzQQj6eL*{Ijuho?F`jokw-uJQ)!{q%E43uW*HWhMHkrf5d8 z`jub>PRrq<_y^|er&m`e8ijw?udmyU%xxc)jq9J$Z#qvoedO!xRkr7E9~aJg=#^vJ z+S^ku^woua5Q*k%iHV6()(NAbIW*A}_iFM?c)*AO*Lm<5$ zIg?8RIdoP)&OuiGCSFPcpHmh;i%Tv;4mHqawT_#;eSQQ`CYrIQ3cbS1$i? zp<}WZmYF^12{OTaLHeIhe-9kJ{7jN2K>$s^Y4}&{yIiFIe*~Afg`Y4M>i3w#FfAJNE2X; zW1Y@b<#b6`M*$6ei?NfLB=mRcP=^|^F^vgONIWGQ91R*FwCiVNG+nMfOI&;2)&UAU z>n^S#%h$4sv$PyBVD))u`f0C?zE&vg3!Re-l$!dkn&Prl8X`1()Eag4Az6d+U=V#s zgM`RH{N+U>yUn6wLAxP!?uo__^OHU?F{q}4(aI@~5ZM`nW94^WPCj){(#(z4YU`jT z_3FV-ifek5>d|ljM`>;&#%20j%fhS3l^TuB-z8#{zL$TIR?TT%I)VDaNE_4=Y6g5e z3&B{X3_EStVb~M9idIo77T@X#@~@&M+szoQ9(3pF1F+{-#k7h)84(Y;1kgm5r6}E>X>`1D@V8D~3=SNQ+?I)Q!Z0j?XA3R{7)yo6r zzH_)%)ra@XIeMfuDnp|RrEN?$mQNo2`=uDS;uc4 z*>e9wj3LUln-&t!z^fkW)>6^7#aTEz52!+6QMM+bE6jLBGB9!4qe*L+>1*-Hs7GJr z>n9ybSrD>bO&pzU$BH*9Sh_i;lZ!RlNQ(`cOZ{M7_1t{b(zGAe!=M5Mv^dsXcgT0H z0ImoQ$$4Drg@upbx6BpmLEd+W_*9+!Q&+v83l8{wHMj{ls)~JzbPBsXPL>C_P?3=0v)(=a5w3Tl>}4b8TQTvvjwTVaYr?qgVuNhfrg*nowiWtJ!ul8I zJT@!zg9&ZULn#!>REa*a3wej+@$YP74Suh%g4tb-&17CMyT%&1Ha6KM?A0ytFO&4p z@-T^sXi&3`Hh4Z+w(W)j*Z3NniJ`em<)+1M<$)4z^h-Gl+U}As4+SSoz0Dis0i{if z(i1R^j;g19-ZQ0g=Bg~ty6E{}?`~+%X?zx2;i*c!{c;vmA|ybS9Y3Fe?X^!V3AIo)dHm-owv7pIAdK~K+%k1nu&Vce zpvBlSw;{8r@m$;WrMsvTP%d>VFF{X^;uW>5E@u!a-u5pcjm2o-YBP$f0 zjkD$26A}hr+w;(>WI)4J|LlNpXTYVxc_a5q)_kzm=C^XAvV6d=#M^0c9@fvEi&{>M`xzDt`?RK(6eTbP5WJ0IpmvA&9c;(arZhEKK zEu)cB(28}pui4LCes z*$!boIDjdxhQBGg*RDA7wcv(=jVhsQO}7%VXwIDoucE`nFQ!%kIa{Dv6=T5S{_y2w z#~*><93_9*4%a=P@f~734P?^mFk9awHr}@bs@^;Y+KW24ac+NK`1b90w$n!=xaZ7L z$6x#;fWoYOqj|-0j)@)>VKpfqtpZ(SaBn!4s_P1jzq+T`t*qOdi);&bU$U9x3|khy znzSjNZGit#tr?1a>f>L7E*SSM-5TJOxdl*Q^%x#~&0SpTf=FNhy^f=z!P662%%}gN z>W!};Nv<>I()z4$`|PAH!O?s5AF3YsRQ=eC>N&RHD7Le=pOm#;rXUYYo+jrbFRR9} z-YZvzFA7peUW~|;a1GFCk=V%U?ZWZ!HmG8Z-Cm<-^8+RWZrkU3J;z@*7+@;s&AE^A z0_LR{^9t+Yw+wj)VDJR zIGxI?ckUEfIq?m~2K}^g1{Y~ZrLY86V2&ALq8qVBZWbGU7X=Us-`wc4(vhJ1(uc*G zpCPiBxh@@ssKil&OgJ3gv#ZXM2HYnlKI_-xAM3iX#NwaCQ4=#}kp=|qLg}dvBjsumXK9Gs*mn4KYYePap zN=gghKwV%lBv)Ur*I2lhp;J1W1N}4aw{K$`x^5~Wq_$Sd1FjPGLCIA{!)wWvU74}< zOJ6QD)*m>`vc&K0?OBXa^bqL4<(%{04SVkB621I}PujDKi&6>9@!L!MuMvoK@$z_0 zF24Ua@$u7|SmDA+9>&_7q zH;FEP%A$*Y4r*G75339^?`~)8Wn}Cac^lb_Q#AthPLY?QnWaM@pq0&__lp%J(B=e( zyKeH3z^$G(Kl3Jaik>&SU+092@NU4y3>+M{n=07j_q6KPdse_ zrr_Kks6S)@GeKqTOE^mMuUA@=&{A>xt8k!qc53_GrefnLmUJe23;OqAl@w> z1^@6XJ>fUQ`)J!FTwPrOG#oh*?=oe`hcdFZKAQ{DyAx#~1Vlmcv_@F}p-1`C#xo+; zq9$e_kLtQGTK*Vhv2oJ8-RRb-_*F6PkG6qX>%Anf&Ex`LrA>;V?~UVOpaSOC;p9#v zQQNBIE=I-)Fh)yTSy{F0h^)o>3z)qzrR<|?`$?kiq5*K;)01Tj{S;_F1i93CJgn@2 zGl1klS10p1`zM!KHfv#_+J}L3a}{f1?H@%Shaw7T@kNRKRJ{^Ji8xW$f~k$2%>@bL z%iUDSJ@}iCSN-}#_ArMi`-3H}Ter+^^8~7VebiI7|2>5Hfx|VHCi~LzXRm|xbkDj2 zSqDgTV2|$A#5d&aKjJUswEP6Pb{}phYWm#lLf18)Hnjd#^)jaK(=FTMP;ZvY-rCyX z*zM7b>os1_TKqcf*G6X03uGNCDXpc_gh{VjAZ}@A$KUaZHuB0=j>F6a%C@_} zv5{9u=$lJ9*ogG^N4+u<%mDH#o1c9d1a2NKdLu0h-AT#j*C!|va1!o?Iel~WzUQyY zMFSEc;jiMBKwBiRB7kH09QZN`_+tm69dWl*{vy~>KmiU-9Z6>fq^&9M zVsKwC1BJNva~oV)$s4tx8`X>18q6^w`{?oKx3?CdIIFj&57zoGkLDZtzB@S~W!^bG z&lU#r$>veLs_kZpGKueN0I7Q~`=j&JMb!c|xyim&k@c5zhhUOxtRdCFgskEpRQToZ zYbU=de9Ca%1AZNpjQ^rmrp(cwVH691@>ut+%qRi+n0n;ng9_1%Qq%DQhj#LMEQ@d` zu+tvs;CU`Z2`(trX)o$z%qc-d8V7fIPATWLRvDDS{vuAQm`Cu@Yv|h^f99r##q5y0)tW-6fIB9s-{5WEMpKj`J zC8%pZhfr}FOE@Zm+US$Bx3l}^66P@UBGI7|zU+~=wMtM!u#FXpM8mg-)zpnUO-_F5 zuU|A%?s#icY?wps4tPgj*zk&6kytTDUFGwtsnpGLKCM<RP)w}XLxD4wnpxoo1W^9) z$r<}6d9G9lRSqi$ST5km*1`Z#BXBWSVmK@j2GQ$Tm_4rf`F`KzWVSIO`piCmU@SiU z)lb6eXG+(?H&YE#6fx*qM7RtG(Yq_8sSV0d@Yhu)l1@CCIQwZR6*6S zMxfR&Vd!}uj1{oIjj}m8^t}qbcxO;`@ZqY!JONqehq;f^8EkaAQzA^g@*rL0>QA+S z+e~j&cBq37bqbh#`aT^-XPnboVueMId9;q%XQ}!eu119Q1RI`7Yd518}q9&MkBx6@O_o};)w8> zCvfHmV3El*vS1HZxes1Rf0TY-mIPMqmJ+bfR*4u>ACa8LXEOpnv4+?EbnP#Y7i@%k zF8b5LA%n4nW-z;&$ zj%WN^6OxO1hjDhG)=+E(glHaJ&pmrJ{AXeljWeowGpoNl_XJR=URi-|Hw3+tz@xK_ zVOb|v!T?dv!G5s@q^Ew-i=ZeFf}MOLn$7L?xd;(1;%9o{1mUYFgxfpO6gnf?mAXtG zST}iT)j)_p00@Iume#i2fagtBa>hRWaWLgDUEOEbv)$d@_dI|dfW*l+x~Jh{A%gQ? zcL3>*AK+eLMF^!38pmAQ`&5G|>3!p47aG>r^LX$LAci)pQTb};xuZmJ+11Jd7KHkk z#pw?VSN}{vy}{N-rYCvh`7_64tx(O_xL5X1CSVc2cM8kM;3@<0zt4`{a}79Fx7tMs z*2tEqj~_2gRaI1om94c4vrOV*J3A?&IF^R;H13e zU0r<_Q|5GTpxPWh0N7egDs90;7A5_pC!KO`*mk&&&u;Zjy(TWDBva_s^Lv~yP5|>_In`5hGgu8|KT~7MmzvpxVbfIZ4 zU8;wt)I|MsBS7_rPpD|_GECVzsOWm(g$#e;?T>jo5Lrp_ zzqkz!Hus`pW6uXYct(31>7F6FaXq2FF%wEMFDW-I@Yh#ZIa>$_n-j{N$+JoT>LY7? z#p9@Uk9z*nXzDU64Uw<-|~bxWaiU!IRFHTvW-nK8F*IkU)$$L{b<% zRD-v_CalCBewNhh4em<)Ys*AsUZAl7QuS?Z{1c#bWvE6Pr9oeXJzPd!9q>$st7(Q% zJOMVTnmQ-yNF&xqdb}poJ1{FvwXGkw3rgSHgl@AE0^^k(o>>Qh{~4^GR~P4yZFkk zV4#I~G#ppeTP5o4a5wL~wHPe^L)pPRPj@0r*k#z`ofjSd9MfzML(5?PTKxmyi zu1P9EJ9cYe+g3i9U{m}?-X<`MZiBVWV5Op(G`MCOT6Z#~6~SFH!OFq)u3;_+fsU?u za>UY6Cs?@!&EJjqI?Sv{9GIgLql?SJE8<~(sD_MPE{O_#oXh`{Qb$n&1hkmlwk*Iz#lMP-NCxmY`o8H@ zDmAv}rc3%0t>+9NxrIO*i(2LOjhBEo#2a=;fHJa^5EEtJhLwM^{4n;N$=>)kX%~Y>LfoHo!LX3Ue@w9w2$b(jwDDgIjMfO1i_Qci9vj(V3txoRv2fDy6C3EXUP> zjY}>{TP26mc2tvd8zg+(6X}v%R^CSIzB3zVBcpd?^e*277>Tn)o=}FvDr;NwWV%6g zn{(UNagH@J4v>g~${NU{RNVO)R8c)+d?Yumlfb&V?e;jg_q8_^{IHFj!% zSj%b#a(XLMfinvm+n`CkQPK+oftFbhR&J^M9P+@dRw_k0{b-%j)JruP;dfSUe-g%@ zZ86TRp0s)BC%8d?fKd{h`B-+v-<)t*30QK@q>>iZWom%ig=J}v&y>Wk3Ct@`Xs~0Le=RLCL=!iy5L(oTXOQ zrjFFc;!uFIkJe>HBO+?Z6QCS~J{LnR{Qp1|o^GIY*ynEI^>2@GsBj$Dk~o#(KUHwP9FA{~gv$0RXl`P1WgEiPPDs>+b=2 zY>?fbb*`($w1HRmF9O};2H-u^bgG!9Ns&VT$=gUvP35X_xC~2L37`<5B8>m(JESr}Nj3iXo1NqKyIT^?$zf#Am0549&c&^J@|%5SjM{GBoKnmf{eHA4DbUJnoHuNpHlst!mZRodtSbmfpHycJ~NE8AiY$Dhn|;!e_l=L6JcVgr}jf zq{ANwdCodZCnx<|c89x~i?()H7T4&#SWvjSwTG!%yCONGJ3yXEZ|+Mg({p2_nl?-K zGfUS>Zgb%F9Z^ zFF|{ezK)pMQ!G*$RgT5pdO}y?2LMh+*l?ncMrLGQHZHwdzv>gx!*!wER`p zvMmg*$D&`RSBl8WFHg3SuRf`G-@f4%LRF6VU%6xrM$FK_`tL_x#r+E|zW>cM^XZNL z{{h*J7YKX3%0X6@UJGLqU1$IM>mI+4WoQgRj?k0A05?(aWFo)&pRYE&^B1#LU}ul` z{W|5BEB`r4`v;qgd&SL!{Uck`6H=7-eja@w6aLQRaM+=;mo$p9C+Z%j`}MR7nI7Z@ z^|Gn8i zujo>W?q4&;84wNp4Q3)9a~>u6>mer*!SeS{BvD#N%m4Y2FW@r`@}tt{rjgE7q2x^T8mO&xFF@=P~i2?FP}dEE4431fB%al>K4Pu z>A!#GsXgfe@B-vvOnaC6@1LqpGtV6R`^PB#|JR53&o`F(LH73_{eOAU(5I*Ve$yw$ zrWO`~qOP0w0f80}6x0C1adj8xOdD6_x40-a^F){-3BzOU||0%xy zzR9pl;qU8^7=sG-nfjnh!c02Dg?7KYoTj3m8E@=X?yk1<7u!A?ECD=FBgmEsq)so* z82>X&N%8UVGjntPppR7#rAbgtn~52%%+2Ly=mvMx6y}%YA74AZ*&v|^%wuq z(b0?9`v8_y0=KSgq`-d)E_L1QEUB;h2TowwB( zWdXhh4ziN>@9XR9n~#>=nri<*4~_I7peys6TR9sKF5G&tDbJ9jPwSVTx= zPE}of^hXDw>gAE~HEwoxKY+uCkdl%b08pZHaFFiYIdXFH+&?GHg#Vcsziv7#3{pcy z-G6FoDxlO6D!kMOyVK&CO-_JY71$>pp%6K%rltm%mfsobwNGZ|V6Sc6gaDGZpOY7p~E!z%Ch z4Y zBqA&f6NQtDb)H~zacT|3tv}}D<^Sj6S#XkLE4;zM;SV=KCls5mTYkF&2AURy3WA%D zObwY>Ku3D^ibaA)0h*4U{;8qi)#E2lfKJaPHjCa29pTi<*nijQ0~|>D)s$Luf6K@S zvY+d%hYtznh#-{WGdw)}y{)a>_$db$R}j>;Z-XNC>%67-a$pe|Y_Cq{ZVph<&>&Ng z8i2rKZ*Z~PMF1v#`nxMJ_sNpfc{h@3u^?$4srT0G$EVbRjI^J_t%m!$ZbhK|$eXZ?DvSWJqdiYLId20^4NwkGE{E0n)B}W^obu zg%bchai2dwDf2Kl=LG0ftcjVKKeWkwe4_ZCJsQR~UQ$w03hBaERo!6_b>Rm*rh&P+ zd6@`!K$bbLA>*l}sv3qB0ReO@d<0rrTAzxFim*R$iIrQr!^9hf!<5jS^M_TPw1Dm| zN&+*&`&cYCO*7k|<_{*NvQj(+j3u@!%Ly*s+1o3)U!0P{{t+}CeSA!;tb$=W8s2li4e~CaNtzUvUla#`4XCvE z!;sL@(KYvTfq~T`fP^(GJ=}Mpm=y@P?x4OsD0%^s|L=f%|30WaE??J)l)E+#Wp0_r zXb}+x&`gX{+(at7b=#M4prmBn@D9yH_z^F0UJQ*!>j}!0XN}vl@5%SUWZ)MNNDT7? zD6YoUi9n&sBP9@MEk>Z%0$=|cI75_u_|3CaN1pI%-kwpTU#3kIA|a^kB;Y9kioJ7&IscH=J8|U z$s=r&|&{5#wGU{82xK9Iw* zfc>7^3HcNOa-8RL@YT*!z#rRR!UM8+JVYAh3wEG}=Anz1s+pOYFAhwV|XnBbJJ=v@m!XqOa8TXgp#$KC9PfrhobS*Kx6katB78~Umj#$H5ZVN}K>R|7}IGY7Gf$JETMyB7jLU2cb1?B>>ZG(nqj zWO#5erU3Kr9mAXhyuL5U-6f@$?rjc@I|2(M;EXeK*NO7bg_*WITW2SytsEjWN$<}O z=5tu+1ZC3yeNQl#qHD?^=YzcEgPN^{sj1(>P@(kAXu0Iac_vCohx_8R2oKNMjg5_! z%7Z;_nBz2(l9Ea~I+rL!mhVhVPvaHWY1o7=;W4gl;|8 zH#Zw#>aMS^*X{4^j6<07<9pUV%(DiYY``U9hc977h%6w2{q)I`%ZbPiWLZ2J5w;5X zf{BUA1J_T06lg%)lpZ>g{@)*?8n#i|^78WMa{vq*2S$P4jmHI;KHel@ZzpSOWXu~K zGmMRl>ftbggD7F42{a`}$lCNFc~2~thPZ}s1uixM6~=ew_wR>~`?|Z&L(eZb61r9; z;vKLv!tUeI@M0g*^Z;->5rVlE9aaL^=l((~JsD3V=Wl3e*qY?_ya?k4ah~qF!p8tW zZRj|N{F`kR)xZ&g0KKX4Pe{i2EhWVdCmq}X1Hnw#?JR>_MM+uNW~4z2gNZBHg_+N0 z1TckQ4Lx^($r(cJTMB7UPtOKd1~E6M=5gm=FHa=ET!fF10Bs|t_7l}#AARtHcBXFM zv%%qE27no$4t8OOY5<|m@n6-iJ0S?2I|smV`&~GV1SKRSoPuBw2RlG)2!4xlVTI(Bkll!iJv*;EyeK1cl~Tnt5`s0^1%L*+!2glR47y;yn0wjmHvr%8^%{)9j z5atXI7cZ4*u$PtP zE&2CZdo^B3NK5HI?wq*mNH2>Wb|YelSpuEBZtpM-jf_^Oqw99?RU2VU6F}huvi;C4a9{1tm{y&-J+KSj zcu!%YIo}MiA!$P{7M2sl0Dm|sB54Exba7Q&l8|^GspoMEjw!L5d*5kV+S`MW_z$Oy z@B*=rIGM74DhB9yzy(M{>^gnsj1(OE(jFHs=DeIa1}*JCaHI~{fZrj$Zh9eDl#uo1 zGnZ~totu8$2UHV<(qK6B3OUSc!tJhKUS4)`1{y_JL_`Aw%$tj03rkDEFqJ>q1+2ja zaTe0xi*p?8?1Xw6y|}z!Z*OlTVY+`m4Gv!F8JJtocK|ITrK6(*+Yz#m()23DAaT7` zel1$A0s48j#ze~_wIQ~Oz+pip#!*jCk3rah6A~c*$bySqb`HMF(qxXag-sV-a?U7xetoF72U#=5=hkOL1$ zB-aBG!^$TcvXTZc6*~_hNjCX$k+uHrKC$vsZ}xyn`PYAMenvc`fc>S8R>{ z4*X#`Y33TY<&B;a9)gfx4({6K@CyHac%E9wppbZWJ&c9Y_j?Zi-+`J0odnDIYuM!Uv~*D7KZl2+Y(Ns+ zhmqtL6ioUV9v1dLHK7bIBu@qDaAbNx_-t?hL4Kr4015x|xyb)(y3uN|fubE^z*S0O zz!k^#tNVdn>WHmbQ_EqaQ!%-~BGTUa38?cd9#^3V?6|*y>$|mSQ{6qeJ3#MOJnB?e z0=CnYoM4_P*eWV2dUTSi_oAIUE2FN90z2oxE2ffxEfnEX!ob#1W+c=H>(&9c17-lL z9pGY1;Dqtn8+yP_8Zd+FL~Kyd($WHkP3ix4{~6c1t89wjlr|L<7M`wtF6*2UngFw~ B!B_wQ From 3cbc802c721725f6bbf54ab39f5e7fabb898bb18 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Sun, 31 Jan 2021 23:05:58 +0100 Subject: [PATCH 11/20] Structure modules --- .../{serial_number_info => info_serial_number}/doc/index.md | 0 .../info_serial_number.ino} | 0 .../doc/index.md | 0 .../needy_testmodule_buttons.ino} | 0 .../doc/index.md | 0 .../puzzle_testmodule_buttons.ino} | 0 .../doc/index.md | 0 .../puzzle_testmodule_date.ino} | 0 src/new_module.sh | 6 +++++- 9 files changed, 5 insertions(+), 1 deletion(-) rename src/modules/{serial_number_info => info_serial_number}/doc/index.md (100%) rename src/modules/{serial_number_info/serial_number_info.ino => info_serial_number/info_serial_number.ino} (100%) rename src/modules/{testmodule_needy_buttons => needy_testmodule_buttons}/doc/index.md (100%) rename src/modules/{testmodule_needy_buttons/testmodule_needy_buttons.ino => needy_testmodule_buttons/needy_testmodule_buttons.ino} (100%) rename src/modules/{testmodule_buttons => puzzle_testmodule_buttons}/doc/index.md (100%) rename src/modules/{testmodule_buttons/testmodule_buttons.ino => puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino} (100%) rename src/modules/{testmodule_date => puzzle_testmodule_date}/doc/index.md (100%) rename src/modules/{testmodule_date/testmodule_date.ino => puzzle_testmodule_date/puzzle_testmodule_date.ino} (100%) diff --git a/src/modules/serial_number_info/doc/index.md b/src/modules/info_serial_number/doc/index.md similarity index 100% rename from src/modules/serial_number_info/doc/index.md rename to src/modules/info_serial_number/doc/index.md diff --git a/src/modules/serial_number_info/serial_number_info.ino b/src/modules/info_serial_number/info_serial_number.ino similarity index 100% rename from src/modules/serial_number_info/serial_number_info.ino rename to src/modules/info_serial_number/info_serial_number.ino diff --git a/src/modules/testmodule_needy_buttons/doc/index.md b/src/modules/needy_testmodule_buttons/doc/index.md similarity index 100% rename from src/modules/testmodule_needy_buttons/doc/index.md rename to src/modules/needy_testmodule_buttons/doc/index.md diff --git a/src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino b/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino similarity index 100% rename from src/modules/testmodule_needy_buttons/testmodule_needy_buttons.ino rename to src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino diff --git a/src/modules/testmodule_buttons/doc/index.md b/src/modules/puzzle_testmodule_buttons/doc/index.md similarity index 100% rename from src/modules/testmodule_buttons/doc/index.md rename to src/modules/puzzle_testmodule_buttons/doc/index.md diff --git a/src/modules/testmodule_buttons/testmodule_buttons.ino b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino similarity index 100% rename from src/modules/testmodule_buttons/testmodule_buttons.ino rename to src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino diff --git a/src/modules/testmodule_date/doc/index.md b/src/modules/puzzle_testmodule_date/doc/index.md similarity index 100% rename from src/modules/testmodule_date/doc/index.md rename to src/modules/puzzle_testmodule_date/doc/index.md diff --git a/src/modules/testmodule_date/testmodule_date.ino b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino similarity index 100% rename from src/modules/testmodule_date/testmodule_date.ino rename to src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino diff --git a/src/new_module.sh b/src/new_module.sh index 6602843..864ecb1 100755 --- a/src/new_module.sh +++ b/src/new_module.sh @@ -12,12 +12,16 @@ if [ ! -d ./template_module ]; then exit 1 fi +# Ask for module type +print "Type of module (puzzle/needy/info): " +read module_type + # Ask for module name print "Name of module (e.g. Oil gauge): " read module_name # Determine a "clean" module name for paths: lowercase, no spaces -module="`print "$module_name" | tr [A-Z] [a-z] | sed "s/ /_/g;s/'//g"`" +module="`print "${module_type}_${module_name}" | tr [A-Z] [a-z] | sed "s/ /_/g;s/'//g"`" # Make sure `modules` directory exists and target directory doesn't mkdir -p modules From d9d13fd84edad4499cd6ae8aa55e399e7c53272a Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 13:40:39 +0100 Subject: [PATCH 12/20] Remove double function --- lib/obus_can.h | 9 --------- 1 file changed, 9 deletions(-) diff --git a/lib/obus_can.h b/lib/obus_can.h index 3a3a420..5473909 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -215,15 +215,6 @@ inline void send_c_infostart(struct module from) { /** * Send a controller "strikeout" OBUS message */ -inline void send_c_infostart(struct module from) { - assert(from.type == OBUS_TYPE_CONTROLLER); - struct message msg = _msg(from, false, OBUS_MSGTYPE_C_INFOSTART); - send(&msg); -} - -/** - * Send a controller "info start" OBUS message - */ inline void send_c_strikeout( struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { From 93ed6a5190bf00fd8024da0950d3a414c4e0fff7 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 13:42:18 +0100 Subject: [PATCH 13/20] Make it easier for new people to get started --- README.md | 63 +++------ docs/GETTING_STARTED.md | 123 ++++++++++++++++++ .../puzzle_testmodule_buttons/doc/index.md | 2 +- .../puzzle_testmodule_buttons.ino | 43 ++++-- 4 files changed, 177 insertions(+), 54 deletions(-) create mode 100644 docs/GETTING_STARTED.md diff --git a/README.md b/README.md index 2b18ad8..b105b9a 100644 --- a/README.md +++ b/README.md @@ -2,17 +2,26 @@ You see an armed time bomb but don't know how to disarm it. Your friends found a manual to defuse the bomb and you have them on call. This is the premise of the game OBUS, a hardware project by [Zeus WPI](https://zeus.ugent.be). Inspired by the amazing software game [Keep Talking and Nobody Explodes](https://www.keeptalkinggame.com/). -# Get started writing a module +## Get started writing a module +These are the instructions for building your own OBUS module with an Arduino Nano v3 and the custom PCB. If +you're using other hardware, you might need to do some things differently. + +0. Read the "[Getting started guide](docs/GETTING_STARTED.md)" to get a general idea of how OBUS works. 1. [Install](https://www.arduino.cc/en/Guide/#install-the-arduino-desktop-ide) the Arduino IDE. 2. Clone this repository with Git in a permanent location on your drive. 3. Symlink the library: `ln -s /ABSOLUTE/PATH/TO/REPO/lib /PATH/TO/Arduino/libraries/obus` (on most Linux distro's, this the Arduino folder is in `$HOME/Arduino`) 4. Follow [these steps](https://github.com/autowp/arduino-mcp2515/#software-usage) to install the CAN library -5. Execute `./src/new_module.sh` to create a new module +5. Execute `./src/new_module.sh` to create a new module. When asked for a type, you'll probably want to choose 'puzzle'. +6. Edit the newly generated .ino file, either in the Arduino IDE or in your own editor. +7. In the Arduino IDE, select the correct board (Arduino Nano) and processor (ATmega328P (Old Bootloader)). After that, + flash your code to the Arduino and test it out. -# Background -## Game +TODO insert picture here + +## Background +### Game The game is played by at least two players. The goal is to defuse a bomb, this is accomplished by defusing every module on that bomb before the bomb @@ -25,49 +34,15 @@ There are two roles: These two roles can communicate with each other. To successfully defuse the bomb, they must communicate efficiently and clearly. If a mistake is made, the team gets a strike. -If they get too many strikes, the bomb explodes, even if the timer hasn't run out yet. +If they get too many strikes or the timer runs out, the bomb explodes. -## Implementation details +### Implementation goals -Now we want to implement this game in hardware. As in the computer version, we want this game to be modular: -it should be easy to 1) make new modules and 2) attach them to a bomb. To do this, we need to settle on -a protocol, both in hardware and in software. +- It should be easy to add new modules, both hardware- and software-wise +- It should be easy to build your own module and get it working with the rest of the game +- Every run of the game should be different +- It should be possible for multiple people at the same time to develop a new module The idea is to have one bomb controller that keeps track of the timer, the amount of strikes and of whether the bomb has been successfully disarmed, and to have multiple modules that have one or more challenges on them that need to be solved. - -### Hardware - -The bomb controller and modules have to be able to communicate with each other. Ideally, we would like a hardware bus where it is easy to add more modules. We would also like to minimise the amount of wires that are needed. - -Multiple protocols were considered: - -- I2C: very standard, most microcontrollers have this built in; unfortunately, limited in the amount of nodes that can connect to the same network (255), limited in distance between nodes (about 1 meter), and the bus needs 4 wires (GND, VCC and two data lines) -- SPI: needs even more wires, and requires a separate wire per module -- Serial: this is not a bus architecture, so a lot of wires will need to be used - -But eventually, CAN was picked. CAN is widely used in vehicles and has several desired properties: -- We only need two wires (CAN uses a differential pair) -- Distance can be up to 500m -- There is built-in packet collision avoidance and per-node priorities -- CAN modules are very cheap -- There are existing Arduino libraries for the CAN module we'll be using - -The payload of a CAN packet is 8 bytes long, this should be enough. - -## Software - -We needed to decide on a protocol to communicate between the bomb controller and the modules (and possibly also between modules?). - -Some things we had to consider: - -- payload is 8 bytes per packet -- packets can be delayed or not received on every node, so detection of this and retransmission might be needed: if the bomb interactor solves a module and the packet that communicates this with the bomb does not get delivered to the controller, the bomb will still go off, even if all modules have been solved -- we can't send an infinite amount of packets; the higher our bitrate is, the shorter our wires need to be - -## Development setup - -In the Arduino IDE, select the correct board (Arduino Nano) and processor (ATmega328P (Old Bootloader)). - -We use [this](https://github.com/autowp/arduino-mcp2515/) library for CAN communications. See [this](https://github.com/autowp/arduino-mcp2515/#software-usage) header for 3 simple steps on how to use it in the arduino IDE diff --git a/docs/GETTING_STARTED.md b/docs/GETTING_STARTED.md new file mode 100644 index 0000000..b03a385 --- /dev/null +++ b/docs/GETTING_STARTED.md @@ -0,0 +1,123 @@ +# Getting started + +This is a guide for writing your own puzzle module. We'll first start by +describing an OBUS game, then describe the minimum things you need to implement +to get a working puzzle module. We'll then finish by explaining some nice-to-haves +(like using info modules or game state in a puzzle module). + +## OBUS game + +### Parts of the game + +The goal of OBUS is to defuse a bomb by communicating clearly. There are (at least) two players: the defuser and the expert. +The defuser can see and interact with the bomb, the expert can read the manual that describes how to defuse the bomb. +You win the game by defusing the bomb, you lose if the bomb explodes. The bomb is defused when all puzzle modules are +solved; the bomb explodes if the time runs out or too much mistakes ("strikes") have been made. + +The bomb consists of a controller and multiple modules: the controller shows the time left, the amount of mistakes made +while defusing the bomb (strikes) and the amount of strikes left. It's responsible for starting games, enumerating modules, +keeping track of game state, ... +The modules on the other hand are the parts of the bomb that can be interacted with by the defuser. There are several kinds of +modules: puzzle modules, needy modules and info modules. + +Puzzle modules are the most common kind of modules. The bomb is defused as soon as all puzzle modules are solved. +Puzzle modules are generally solved by having the defuser observe some kind of state of the game, then having them +communicate that information to the expert. The expert then uses this information and the manual to communicate a set of +instructions to the defuser. If the instructions are correct and the defuser executes them correctly, the module is solved. +If one of the two players makes an error and the defuser executes an incorrect action, the module generates a "strike". + +An example of a puzzle module is an RGB led with a red and a green button under it. The defuser looks at the module and +sees that the RGB led is colored blue. They then tell that to the expert, who then looks up this module in the manual. +The manual instructs to press the green button if the color starts with the letter "b" or "o". The expert then asks the +defuser to press the green button. When the defuser does this, the module is solved. + +There are also needy modules. These can't be "solved", but they can generate strikes: they require periodic action +from the defuser to prevent them from generating a strike. + +An example of a needy module is a buzzer with a red button. If the buzzer goes off, the defuser has to press the button within 5 seconds +or a strike is generated. Needy modules can also have the expert look something up in the manual (if you do this, make sure +to balance the time needed by the defuser against the time between the signal that action is needed and the strike). + +Finally, there are also info modules. These don't serve a purpose on their own, they are only useful in combination with +puzzle or needy modules. They provide extra information to those modules. These modules were added to the game +to make it possible to make puzzle/needy modules with less hardware: puzzle modules generally consist of two "parts": +a part that shows information to the defuser that needs to be communicated to the expert and a part that the defuser +can interact with to solve the module. With info modules, this first part can be moved to a dedicated module that can +be shared between multiple puzzle modules. + +An example of an info module is an LCD display that displays a serial number. There can then be a puzzle module with +just two buttons on it. When the defuser is solving that module, the expert reads in the manual "press the second button +if the last digit of the serial number is even, otherwise press the first". The expert then asks for the serial number +and after that has the defuser press the correct button, solving the puzzle module. + +### Hardware + +In our OBUS implementation, every module has its own microcontroller and CAN module. CAN is a hardware protocol +that allows the modules to communicate with the controller (and each other). To write your own module, you don't +have to know how CAN works, this is all abstracted away with the OBUS framework. The only thing you need to do +is to set the type (puzzle/needy/info) of the module and it's ID. The combination type/ID needs to be unique across +the OBUS game, so in order to avoid collisions, you can register your module in TODO LINK MOANA and get an ID. + +In addition to a CAN module, every module also has an RGB LED. This LED is used to show both the +state of the module and to indicate if the module has an error. +If the module is solved, the LED is green, if a module generates a strike, the module blinks red. There are also several blinking +patterns for errors. In order to save pins on the microcontroller for implementing the puzzle, only the red and green +parts of the RGB led are connected. You CANNOT use the RGB LED for the puzzle itself: this would be confusing for the player +and when debugging a game. + +### A sample game + +This is a description of a sample game with only one module: the `puzzle_testmodule_buttons`. When reading this part, it's useful to have the `puzzle_testmodule_buttons.ino` file next to you as well. + +We'll start this story from the start: the puzzle module boots up. +It calls the `obus_module::setup` function to register it's module type and ID. It then keeps calling the `obus_module::loopPuzzle` in a loop. +It's important that the `loopPuzzle` function is executed very frequently without delays: if this doesn't happen enough, important CAN messages can get dropped. + +Then after a while, a button gets pressed on the controller and the controller starts preparing to start the game. It first asks all info modules to broadcast their information. After some time, it then asks all puzzle/needy module to register themselves. The controller then confirms that that module will be active in the next round. After some time, the controller broadcasts that the game has started and starts counting down. + +This broadcasts is received on the puzzle module and results in the `callback_game_start` function getting called the next time the +`obus::loopPuzzle` is called. The `callback_game_start` function is responsible for setting up the module for a new game. Here, we +randomly turn the blue LED on or off, and enable the main loop to start checking button presses. + +After the `callback_game_start` function returns, the microcontroller stays inside the `loop` function, and keeps executing the `obus_module::loopPuzzle` +frequently. If the correct button is pressed, it calls the `obus_module::solve` function and turns off input checking. Turning off imput checking is +important: that way it's impossible for the puzzle module to generate strikes after it has been solved. If an incorrect button is pressed, it calls the +`obus_module::strike` function. That function will send a strike to the controller. + +When `obus_module::solve` is called, the module sends an "I'm solved" CAN packet to the controller. The controller then sees that all modules have been solved, +and broadcasts a "solved" packet to every module. The next time the `obus_module::loopPuzzle` function gets called, the +`callback_game_stop` will be called. This function is responsible for tearing down the puzzle state. This should have the +same effect as just resetting the microcontroller, so if your state is too hard to clean up, you can just reboot the microcontroller. + +## What you need to implement for your own puzzle module + +- The setup code, initializing your microcontroller and setting the type and id of the module with `obus_module::setup` +- The main loop code. This should call the `loopPuzzle` function frequently so that all CAN packets can be handled. +If you are using calls to `delay()`, try to replace them with a timer (a variable that keeps track of when something should happen). That way the loop can continue executing, without being stuck in the `delay()` function. +- A call to the `obus_module::solve` function +- A description for the expert of how to defuse the module in `doc/index.md` of your module folder +- The `callback_game_start`, `callback_game_stop` and `callback_info` functions. These can be empty. + +## More advanced puzzle modules + +### Receiving game updates + +It's possible to use the current state of the game in your module: the amount of +strikes (in microseconds), amount of allowed strikes and time left regularly get +broadcast to all modules. That way, you can spice up your puzzle, for example by +making the defuser press a button when the timer has a `1` in it, or by +having the instructions in the manual vary based on the amount of strikes. + +TODO how will we do this? + +### Using info modules in your puzzle/needy modules + +Using info modules is a great way to reduce the amount of hardware components needed in a puzzle: +you can then still have puzzles that change every game, without having to add components that show information +to the defuser. Info modules broadcast their information to all modules in the phase before the game starts. +Every module can listen to these info messages with the `callback_info` callback. This callback will get +the ID of the info module and 7 bytes, as specified by the specific module. The `callback_info` function is +responsible for filtering out the info messages the module is interested in, and saving that info for the upcoming game. + +For example, the serial number module has ID 1 and sends in its message 7 random character, chosen randomly from numbers +and the uppercase letters. diff --git a/src/modules/puzzle_testmodule_buttons/doc/index.md b/src/modules/puzzle_testmodule_buttons/doc/index.md index f751538..e68cac5 100644 --- a/src/modules/puzzle_testmodule_buttons/doc/index.md +++ b/src/modules/puzzle_testmodule_buttons/doc/index.md @@ -1,6 +1,6 @@ ## Testmodule buttons -Don't press the red button. Press the green button to solve the module. +If the blue LED is lit, press the green button, otherwise press the red button. ### Credits Module developed by redfast00. diff --git a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino index 3c5f969..38c8ed6 100644 --- a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino +++ b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino @@ -1,17 +1,27 @@ // (c) 2020, redfast00 // See the LICENSE file for conditions for copying + +// A red button connected to pin 5 +// A green button connected to pin 6 +// A blue led (with 330 ohm resistor) connected to pin 9 + #include #include +#define BLUE_LED 9 ezButton red_button(5); ezButton green_button(6); +bool blue_state = false; +bool checking_input = false; + void setup() { Serial.begin(115200); obus_module::setup(OBUS_TYPE_PUZZLE, OBUS_PUZZLE_ID_DEVELOPMENT); red_button.setDebounceTime(100); green_button.setDebounceTime(100); + pinMode(BLUE_LED, OUTPUT); } obus_can::message message; @@ -23,23 +33,38 @@ void loop() { red_button.loop(); green_button.loop(); - if (red_button.getCount() > 0) { - red_button.resetCount(); - obus_module::strike(); - } + if (checking_input) { + if (red_button.getCount() > 0) { + if (blue_state) { + obus_module::strike(); + } else { + obus_module::solve(); + checking_input = blue_state = false; + } + } - if (green_button.getCount() > 0) { - green_button.resetCount(); - obus_module::solve(); + if (green_button.getCount() > 0) { + if (blue_state) { + obus_module::solve(); + checking_input = blue_state = false; + } else { + obus_module::strike(); + } + } } + red_button.resetCount(); + green_button.resetCount(); + + digitalWrite(BLUE_LED, blue_state); } void callback_game_start() { - // Intentionally emtpy + blue_state = random(0, 2); + checking_input = true; } void callback_game_stop() { - // Intentionally empty + blue_state = checking_input = false; } void callback_info(uint8_t info_id, uint8_t [7]) { From 322952480510de640eccbc3ec93f5b2fd251418e Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 13:44:23 +0100 Subject: [PATCH 14/20] Revert "Use non-streaming editor and avoid temporary script" This reverts commit 11e5f16425a6015705889a99157abeeb5bcbc035. --- src/new_module.sh | 38 ++++++++++++++++++-------------------- 1 file changed, 18 insertions(+), 20 deletions(-) diff --git a/src/new_module.sh b/src/new_module.sh index 864ecb1..c9c7625 100755 --- a/src/new_module.sh +++ b/src/new_module.sh @@ -39,7 +39,19 @@ read author cp -r -- template_module "$module_dir" cd -- "$module_dir" -# Disallow % in fields that will be used in %-delimited ed substitution +# Fill in the blanks in the template +# `sed -i` is not portable so we create something like it ourselves +sed_inplace="`mktemp`" +cleanup_sed() { rm -f -- "$sed_inplace"; } +trap cleanup_sed EXIT +print ' + filename="$1" + shift 1 + tmpfile="`mktemp`" + sed "$@" -- "$filename" > "$tmpfile" + mv -- "$tmpfile" "$filename" +' > "$sed_inplace" +chmod 0500 -- "$sed_inplace" # Make executable assert_no_percent() { case "$1" in *"%"*) println "$2 must not contain %" >&2; exit 1 ;; @@ -48,25 +60,11 @@ assert_no_percent() { assert_no_percent "$author" "Author name" assert_no_percent "$module_name" "Module name" assert_no_percent "$module" "Module path name" - -# Fill in the blanks in the template -# `sed -i` is not portable so we create something like it ourselves -reced() { - for file in "$1"/*; do - if [ -f "$file" ]; then - ed "$file" < Date: Mon, 1 Feb 2021 15:33:14 +0100 Subject: [PATCH 15/20] Make info and state optional callbacks --- lib/obus_module.cpp | 26 ++++++++++++++++++++------ lib/obus_module.h | 7 +++++-- 2 files changed, 25 insertions(+), 8 deletions(-) diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index 952a27f..783c3d1 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -99,7 +99,20 @@ void setup(uint8_t type, uint8_t id) { _resetState(); } -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])) { +void empty_callback_info(uint8_t info_id, uint8_t infomessage[7]) { + // Mark arguments as not used + (void)info_id; + (void)infomessage; +} + +void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + // Mark arguments as not used + (void)time_left; + (void)strikes; + (void)max_strikes; +} + +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes)) { // TODO this can be more efficient by only enabling error interrupts and // reacting to the interrupt instead of checking if the flag is set in a loop // We will need to fork our CAN library for this, because the needed functions are private. @@ -114,8 +127,9 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void } } - bool interesting_message = false; + bool received_message = false; if (obus_can::receive(message)) { + received_message = true; if (is_from_controller(message->from)) { switch (message->msg_type) { case OBUS_MSGTYPE_C_GAMESTART: @@ -137,7 +151,7 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void case OBUS_MSGTYPE_C_ACK: break; case OBUS_MSGTYPE_C_STATE: - interesting_message = true; + callback_state(message->gamestatus.time_left, message->gamestatus.strikes, message->gamestatus.max_strikes); break; default: break; @@ -151,12 +165,12 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void _ledLoop(); - return interesting_message; + return received_message; } -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])) { +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes)) { // For now this is the same function - return loopPuzzle(message, callback_game_start, callback_game_stop, callback_info); + return loopPuzzle(message, callback_game_start, callback_game_stop, callback_info, callback_state); } bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)) { diff --git a/lib/obus_module.h b/lib/obus_module.h index 914e778..02d5650 100644 --- a/lib/obus_module.h +++ b/lib/obus_module.h @@ -12,9 +12,12 @@ namespace obus_module { void setup(uint8_t type, uint8_t id); -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])); +void empty_callback_info(uint8_t info_id, uint8_t infomessage[7]); +void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes); -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t [7])); +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) = empty_callback_state); + +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) = empty_callback_state); bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)); From 57a27125878e0694d343687ea15714f45e18b1ed Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 15:34:41 +0100 Subject: [PATCH 16/20] Remove empty callbacks from modules --- .../needy_testmodule_buttons/needy_testmodule_buttons.ino | 6 +----- .../puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino | 6 +----- .../puzzle_testmodule_date/puzzle_testmodule_date.ino | 6 +----- 3 files changed, 3 insertions(+), 15 deletions(-) diff --git a/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino b/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino index d333ebe..cb1002d 100644 --- a/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino +++ b/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino @@ -20,7 +20,7 @@ uint32_t next_activation_time = 0; uint32_t trigger_time = 0; void loop() { - bool is_message_valid = obus_module::loopNeedy(&message, callback_game_start, callback_game_stop, callback_info); + bool is_message_valid = obus_module::loopNeedy(&message, callback_game_start, callback_game_stop); green_button.loop(); // Every second, have a 1/20 chance to trigger the countdown @@ -65,7 +65,3 @@ void callback_game_start() { void callback_game_stop() { } - -void callback_info(uint8_t info_id, uint8_t [7]) { - // Intentionally empty -} diff --git a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino index 3c5f969..312e1cc 100644 --- a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino +++ b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino @@ -17,7 +17,7 @@ void setup() { obus_can::message message; void loop() { - bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop, callback_info); + bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); // TODO handle update frames (not needed for this module, but could be useful as example code) red_button.loop(); @@ -41,7 +41,3 @@ void callback_game_start() { void callback_game_stop() { // Intentionally empty } - -void callback_info(uint8_t info_id, uint8_t [7]) { - // Intentionally empty -} diff --git a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino index c94665b..3509a11 100644 --- a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino +++ b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino @@ -47,7 +47,7 @@ void setup() { obus_can::message message; void loop() { - bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop, callback_info); + bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); // TODO handle update frames (not needed for this module, but could be useful as example code) solve_button.loop(); if (solve_button.getCount() > 0) { @@ -128,7 +128,3 @@ void callback_game_start() { void callback_game_stop() { // Intentionally empty } - -void callback_info(uint8_t info_id, uint8_t [7]) { - // Intentionally empty -} From 393b1c94ced83b90b5769b1ef26d66492179d594 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 15:59:46 +0100 Subject: [PATCH 17/20] Add puzzle_modules_solved to gamestate updates --- debugging_tool/server.py | 3 ++- docs/protocol.txt | 4 ++-- lib/obus_can.cpp | 14 ++++++++------ lib/obus_can.h | 24 +++++++++++++----------- lib/obus_module.cpp | 9 +++++---- lib/obus_module.h | 6 +++--- src/controller/controller.ino | 15 ++++++++++----- 7 files changed, 43 insertions(+), 32 deletions(-) diff --git a/debugging_tool/server.py b/debugging_tool/server.py index c8984e2..5b62a03 100644 --- a/debugging_tool/server.py +++ b/debugging_tool/server.py @@ -50,8 +50,9 @@ class Message: timeleft = self.payload[1] << 0x18 | self.payload[2] << 0x10 | self.payload[3] << 0x08 | self.payload[4] strikes = self.payload[5] max_strikes = self.payload[6] + solved_puzzle_modules = self.payload[7] - return f'{timeleft/1000:3.2f} {strikes:02}/{max_strikes:02}' + return f'{timeleft/1000:3.2f} {strikes:02}/{max_strikes:02} [{solved_puzzle_modules:02}]' def parse_message(self): sender_type = self.sender_type() diff --git a/docs/protocol.txt b/docs/protocol.txt index 0ef8eef..74230e8 100644 --- a/docs/protocol.txt +++ b/docs/protocol.txt @@ -57,13 +57,13 @@ Types for controller: - 3 state (every x ms – e.g. in the middle of each second) [ X B B B B B B B ] -------- - - - - time left ↓ ↓ reserved + time left ↓ ↓ #solved puzzle modules #strikes #max strikes - 4-6 solved, timeout, strikeout [ X B B B B B B B ] -------- - - - - end time ↓ ↓ reserved + end time ↓ ↓ #solved puzzle modules #strikes #max strikes - 7 info start diff --git a/lib/obus_can.cpp b/lib/obus_can.cpp index 421dd1a..6df9e73 100644 --- a/lib/obus_can.cpp +++ b/lib/obus_can.cpp @@ -114,13 +114,14 @@ bool receive(struct message *msg) { break; case OBUS_PAYLDTYPE_GAMESTATUS: - if (receive_frame.can_dlc < 7) { - Serial.println(F("W Received illegal gamestatus msg: payload <7")); + if (receive_frame.can_dlc < 8) { + Serial.println(F("W Received illegal gamestatus msg: payload <8")); return false; } - msg->gamestatus.time_left = unpack_4b_into_u32(&(receive_frame.data[1])); - msg->gamestatus.strikes = receive_frame.data[5]; - msg->gamestatus.max_strikes = receive_frame.data[6]; + msg->gamestatus.time_left = unpack_4b_into_u32(&(receive_frame.data[1])); + msg->gamestatus.strikes = receive_frame.data[5]; + msg->gamestatus.max_strikes = receive_frame.data[6]; + msg->gamestatus.puzzle_modules_solved = receive_frame.data[7]; break; case OBUS_PAYLDTYPE_COUNT: @@ -186,7 +187,8 @@ void send(struct message *msg) { pack_u32_into_4b(&(send_frame.data[1]), msg->gamestatus.time_left); send_frame.data[5] = msg->gamestatus.strikes; send_frame.data[6] = msg->gamestatus.max_strikes; - length = 7; + send_frame.data[7] = msg->gamestatus.puzzle_modules_solved; + length = 8; break; case OBUS_PAYLDTYPE_COUNT: diff --git a/lib/obus_can.h b/lib/obus_can.h index 5473909..5f3c6b4 100644 --- a/lib/obus_can.h +++ b/lib/obus_can.h @@ -51,6 +51,7 @@ struct payld_gamestatus { uint32_t time_left; uint8_t strikes; uint8_t max_strikes; + uint8_t puzzle_modules_solved; }; struct payld_infomessage { uint8_t len; @@ -128,12 +129,13 @@ inline struct message _msg(struct module from, bool priority, uint8_t msg_type) */ inline void _send_payld_gamestatus( struct module from, bool priority, uint8_t msg_type, - uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { struct message msg = _msg(from, priority, msg_type); msg.gamestatus.time_left = time_left; msg.gamestatus.strikes = strikes; msg.gamestatus.max_strikes = max_strikes; + msg.gamestatus.puzzle_modules_solved = puzzle_modules_solved; send(&msg); } @@ -163,44 +165,44 @@ inline void send_c_hello(struct module from) { * Send a controller "game start" OBUS message */ inline void send_c_gamestart( - struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { assert(from.type == OBUS_TYPE_CONTROLLER); _send_payld_gamestatus( - from, false, OBUS_MSGTYPE_C_GAMESTART, time_left, strikes, max_strikes); + from, false, OBUS_MSGTYPE_C_GAMESTART, time_left, strikes, max_strikes, puzzle_modules_solved); } /** * Send a controller "state" OBUS message */ inline void send_c_state( - struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { assert(from.type == OBUS_TYPE_CONTROLLER); _send_payld_gamestatus( - from, false, OBUS_MSGTYPE_C_STATE, time_left, strikes, max_strikes); + from, false, OBUS_MSGTYPE_C_STATE, time_left, strikes, max_strikes, puzzle_modules_solved); } /** * Send a controller "solved" OBUS message */ inline void send_c_solved( - struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { assert(from.type == OBUS_TYPE_CONTROLLER); _send_payld_gamestatus( - from, false, OBUS_MSGTYPE_C_SOLVED, time_left, strikes, max_strikes); + from, false, OBUS_MSGTYPE_C_SOLVED, time_left, strikes, max_strikes, puzzle_modules_solved); } /** * Send a controller "timeout" OBUS message */ inline void send_c_timeout( - struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { assert(from.type == OBUS_TYPE_CONTROLLER); _send_payld_gamestatus( - from, false, OBUS_MSGTYPE_C_TIMEOUT, time_left, strikes, max_strikes); + from, false, OBUS_MSGTYPE_C_TIMEOUT, time_left, strikes, max_strikes, puzzle_modules_solved); } /** @@ -216,11 +218,11 @@ inline void send_c_infostart(struct module from) { * Send a controller "strikeout" OBUS message */ inline void send_c_strikeout( - struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { + struct module from, uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { assert(from.type == OBUS_TYPE_CONTROLLER); _send_payld_gamestatus( - from, false, OBUS_MSGTYPE_C_STRIKEOUT, time_left, strikes, max_strikes); + from, false, OBUS_MSGTYPE_C_STRIKEOUT, time_left, strikes, max_strikes, puzzle_modules_solved); } diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index 783c3d1..fb1351b 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -105,14 +105,15 @@ void empty_callback_info(uint8_t info_id, uint8_t infomessage[7]) { (void)infomessage; } -void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) { +void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) { // Mark arguments as not used (void)time_left; (void)strikes; (void)max_strikes; + (void)puzzle_modules_solved; } -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes)) { +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { // TODO this can be more efficient by only enabling error interrupts and // reacting to the interrupt instead of checking if the flag is set in a loop // We will need to fork our CAN library for this, because the needed functions are private. @@ -151,7 +152,7 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void case OBUS_MSGTYPE_C_ACK: break; case OBUS_MSGTYPE_C_STATE: - callback_state(message->gamestatus.time_left, message->gamestatus.strikes, message->gamestatus.max_strikes); + callback_state(message->gamestatus.time_left, message->gamestatus.strikes, message->gamestatus.max_strikes, message->gamestatus.puzzle_modules_solved); break; default: break; @@ -168,7 +169,7 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void return received_message; } -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes)) { +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { // For now this is the same function return loopPuzzle(message, callback_game_start, callback_game_stop, callback_info, callback_state); } diff --git a/lib/obus_module.h b/lib/obus_module.h index 02d5650..8327df0 100644 --- a/lib/obus_module.h +++ b/lib/obus_module.h @@ -13,11 +13,11 @@ namespace obus_module { void setup(uint8_t type, uint8_t id); void empty_callback_info(uint8_t info_id, uint8_t infomessage[7]); -void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes); +void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved); -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) = empty_callback_state); +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes) = empty_callback_state); +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)); diff --git a/src/controller/controller.ino b/src/controller/controller.ino index d439ec9..9075e63 100644 --- a/src/controller/controller.ino +++ b/src/controller/controller.ino @@ -28,6 +28,7 @@ uint8_t state = STATE_INACTIVE; struct obus_can::module connected_modules_ids[OBUS_MAX_MODULES]; uint8_t nr_connected_modules; uint8_t nr_connected_puzzles; +uint8_t nr_solved_puzzles; uint8_t strikes; // Bitvector for checking if game is solved or not @@ -86,6 +87,9 @@ void add_puzzle_to_bit_vector(uint8_t module_id) { void solve_puzzle_in_bit_vector(uint8_t module_id) { uint8_t byte_index = module_id >> 3; uint8_t bit_index = module_id & 0x07; + if (unsolved_puzzles[byte_index] & (0x1 << bit_index)) { + nr_solved_puzzles++; + } unsolved_puzzles[byte_index] &= ~(0x1 << bit_index); } @@ -176,6 +180,7 @@ void receive_hello() { void initialize_game() { strikes = 0; + nr_solved_puzzles = 0; game_start = millis(); last_draw = 0; @@ -185,7 +190,7 @@ void initialize_game() { Serial.println(" Game started"); draw_display(millis(), OBUS_GAME_DURATION_MS); - obus_can::send_c_gamestart(this_module, OBUS_GAME_DURATION_MS, strikes, OBUS_MAX_STRIKES); + obus_can::send_c_gamestart(this_module, OBUS_GAME_DURATION_MS, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); } @@ -241,14 +246,14 @@ void game_loop() { if (check_solved()) { Serial.println(" Game solved"); - obus_can::send_c_solved(this_module, time_left, strikes, OBUS_MAX_STRIKES); + obus_can::send_c_solved(this_module, time_left, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); state = STATE_GAMEOVER; tm.displayText("dISArmEd"); return; } if (time_left == 0) { Serial.println(" Time's up"); - obus_can::send_c_timeout(this_module, time_left, strikes, OBUS_MAX_STRIKES); + obus_can::send_c_timeout(this_module, time_left, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); state = STATE_GAMEOVER; tm.displayText(" boo t"); // m @@ -258,7 +263,7 @@ void game_loop() { } if (strikes >= OBUS_MAX_STRIKES) { Serial.println(" Strikeout"); - obus_can::send_c_strikeout(this_module, time_left, strikes, OBUS_MAX_STRIKES); + obus_can::send_c_strikeout(this_module, time_left, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); state = STATE_GAMEOVER; tm.displayText(" boo S"); // m @@ -270,7 +275,7 @@ void game_loop() { draw_display(current_time, time_left); if (last_update + OBUS_UPDATE_INTERVAL <= current_time) { - obus_can::send_c_state(this_module, time_left, strikes, OBUS_MAX_STRIKES); + obus_can::send_c_state(this_module, time_left, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); last_update = current_time; } } From b7c2e856825637ba8783c98ca06af9338b03e6f8 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 16:33:14 +0100 Subject: [PATCH 18/20] Remove info callback in template, correct casing --- src/template_module/main.ino | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) diff --git a/src/template_module/main.ino b/src/template_module/main.ino index 6551a68..ad61c06 100644 --- a/src/template_module/main.ino +++ b/src/template_module/main.ino @@ -16,8 +16,8 @@ void setup() { obus_can::message message; void loop() { - bool is_message_valid = obus_module::loop_puzzle(&message, callback_game_start, callback_game_stop, callback_info); - // bool is_message_valid = obus_module::loop_needy(&message, callback_game_start, callback_game_stop, callback_info); + bool is_message_valid = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); + // bool is_message_valid = obus_module::loopNeedy(&message, callback_game_start, callback_game_stop); } void callback_game_start() { @@ -27,7 +27,3 @@ void callback_game_start() { void callback_game_stop() { } - -void callback_info(uint8_t info_id, uint8_t [7]) { - -} From 07fd7ed1c8213b601a6231c61485fa4e9a02f959 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 17:00:15 +0100 Subject: [PATCH 19/20] Add deadline for loop function so users are forced to call it frequently --- lib/obus_module.cpp | 28 ++++++++++++++----- .../month_day_selector/month_day_selector.ino | 4 +-- .../puzzle_testmodule_date.ino | 4 +-- 3 files changed, 25 insertions(+), 11 deletions(-) diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index fb1351b..74b9429 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -8,6 +8,8 @@ #define BLINK_DELAY_NORMAL 500 #define BLINK_DELAY_FAST 300 +#define MAX_TIME_BETWEEN_CALLS 100 + // Not used normally #define MCP_INT 2 @@ -21,6 +23,7 @@ namespace obus_module { struct obus_can::module this_module; uint8_t strike_count; bool active; +uint32_t next_loop_call_deadline; // Current LED status struct color { bool red; bool green; }; @@ -81,6 +84,7 @@ void _setLedBlink(struct color color, uint16_t delay) { void _resetState() { strike_count = 0; active = false; + next_loop_call_deadline = 0; if (this_module.type == OBUS_TYPE_PUZZLE || this_module.type == OBUS_TYPE_NEEDY) { pinMode(RED_LED, OUTPUT); @@ -113,21 +117,31 @@ void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strik (void)puzzle_modules_solved; } +void blink_error(String message) { + bool blink = false; + while (true) { + digitalWrite(RED_LED, blink); + digitalWrite(GREEN_LED, blink); + blink = !blink; + delay(BLINK_DELAY_NORMAL); + Serial.println(message); + } +} + bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { // TODO this can be more efficient by only enabling error interrupts and // reacting to the interrupt instead of checking if the flag is set in a loop // We will need to fork our CAN library for this, because the needed functions are private. // Also, we can't do this by default, because the INT pin is normally not connected to the board if (obus_can::is_error_condition()) { - bool blink = false; - while (true) { - digitalWrite(RED_LED, blink); - digitalWrite(GREEN_LED, blink); - blink = !blink; - delay(BLINK_DELAY_NORMAL); - } + blink_error(F("E CAN error")); } + if (next_loop_call_deadline != 0 && millis() > next_loop_call_deadline) { + blink_error(F("E missed deadline")); + } + next_loop_call_deadline = millis() + MAX_TIME_BETWEEN_CALLS; + bool received_message = false; if (obus_can::receive(message)) { received_message = true; diff --git a/misc/reverse_engineered_hardware/month_day_selector/month_day_selector.ino b/misc/reverse_engineered_hardware/month_day_selector/month_day_selector.ino index eef29eb..976e01f 100644 --- a/misc/reverse_engineered_hardware/month_day_selector/month_day_selector.ino +++ b/misc/reverse_engineered_hardware/month_day_selector/month_day_selector.ino @@ -18,7 +18,7 @@ uint8_t shiftInFixed(uint8_t dataPin, uint8_t clockPin, uint8_t bitOrder) { else value |= digitalRead(dataPin) << (7 - i); digitalWrite(clockPin, HIGH); - delay(1); + delayMicroseconds(3); digitalWrite(clockPin, LOW); } return value; @@ -50,7 +50,7 @@ void loop() // run over and over { // read data in digitalWrite(READ_PIN, HIGH); - delay(200); + delayMicroseconds(3); digitalWrite(READ_PIN, LOW); // shift data bit by bit diff --git a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino index 3509a11..c0a5890 100644 --- a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino +++ b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino @@ -82,7 +82,7 @@ uint8_t read_value_from_date_module(uint8_t bit_order) { else value |= read_bit << (7 - i); digitalWrite(DATE_CLOCK_PIN, HIGH); - delay(1); + delayMicroseconds(3); digitalWrite(DATE_CLOCK_PIN, LOW); } return value; @@ -90,7 +90,7 @@ uint8_t read_value_from_date_module(uint8_t bit_order) { void read_from_date_module(uint8_t* data_out) { digitalWrite(DATE_READ_PIN, HIGH); - delay(200); + delayMicroseconds(3); digitalWrite(DATE_READ_PIN, LOW); for (int i = 0; i < 4; i++) { From afd3ae67f85514494ce0ab18e78cc52ff0f4f657 Mon Sep 17 00:00:00 2001 From: redfast00 Date: Mon, 1 Feb 2021 17:48:26 +0100 Subject: [PATCH 20/20] Add field for amount of puzzle modules connected --- docs/protocol.txt | 2 +- lib/obus_module.cpp | 7 +- lib/obus_module.h | 4 +- src/controller/controller.ino | 2 +- .../needy_testmodule_buttons.ino | 5 +- .../puzzle_testmodule_buttons.ino | 3 +- .../puzzle_testmodule_date.ino | 131 +++++++++--------- 7 files changed, 79 insertions(+), 75 deletions(-) diff --git a/docs/protocol.txt b/docs/protocol.txt index 74230e8..9ac7f92 100644 --- a/docs/protocol.txt +++ b/docs/protocol.txt @@ -51,7 +51,7 @@ Types for controller: - 2 game start [ X B B B B B B B ] -------- - - - - time left ↓ ↓ reserved + time left ↓ ↓ #puzzle modules #strikes #max strikes - 3 state (every x ms – e.g. in the middle of each second) diff --git a/lib/obus_module.cpp b/lib/obus_module.cpp index 74b9429..84b6202 100644 --- a/lib/obus_module.cpp +++ b/lib/obus_module.cpp @@ -128,7 +128,7 @@ void blink_error(String message) { } } -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(uint8_t puzzle_modules), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { // TODO this can be more efficient by only enabling error interrupts and // reacting to the interrupt instead of checking if the flag is set in a loop // We will need to fork our CAN library for this, because the needed functions are private. @@ -150,7 +150,8 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void case OBUS_MSGTYPE_C_GAMESTART: active = true; _setLed(COLOR_YELLOW); - callback_game_start(); + // The field is named puzzle_modules_solved, but it actually contains the amount of puzzle modules + callback_game_start(message->gamestatus.puzzle_modules_solved); break; case OBUS_MSGTYPE_C_HELLO: _resetState(); @@ -183,7 +184,7 @@ bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void return received_message; } -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(uint8_t puzzle_modules), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]), void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved)) { // For now this is the same function return loopPuzzle(message, callback_game_start, callback_game_stop, callback_info, callback_state); } diff --git a/lib/obus_module.h b/lib/obus_module.h index 8327df0..0705b00 100644 --- a/lib/obus_module.h +++ b/lib/obus_module.h @@ -15,9 +15,9 @@ void setup(uint8_t type, uint8_t id); void empty_callback_info(uint8_t info_id, uint8_t infomessage[7]); void empty_callback_state(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved); -bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); +bool loopPuzzle(obus_can::message* message, void (*callback_game_start)(uint8_t puzzle_modules), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); -bool loopNeedy(obus_can::message* message, void (*callback_game_start)(), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); +bool loopNeedy(obus_can::message* message, void (*callback_game_start)(uint8_t puzzle_modules), void (*callback_game_stop)(), void (*callback_info)(uint8_t info_id, uint8_t infomessage[7]) = empty_callback_info, void (*callback_state)(uint32_t time_left, uint8_t strikes, uint8_t max_strikes, uint8_t puzzle_modules_solved) = empty_callback_state); bool loopInfo(obus_can::message* message, int (*info_generator)(uint8_t*)); diff --git a/src/controller/controller.ino b/src/controller/controller.ino index 9075e63..ab5a541 100644 --- a/src/controller/controller.ino +++ b/src/controller/controller.ino @@ -190,7 +190,7 @@ void initialize_game() { Serial.println(" Game started"); draw_display(millis(), OBUS_GAME_DURATION_MS); - obus_can::send_c_gamestart(this_module, OBUS_GAME_DURATION_MS, strikes, OBUS_MAX_STRIKES, nr_solved_puzzles); + obus_can::send_c_gamestart(this_module, OBUS_GAME_DURATION_MS, strikes, OBUS_MAX_STRIKES, nr_connected_puzzles); } diff --git a/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino b/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino index cb1002d..e42a234 100644 --- a/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino +++ b/src/modules/needy_testmodule_buttons/needy_testmodule_buttons.ino @@ -58,8 +58,9 @@ void loop() { } } -void callback_game_start() { - +void callback_game_start(uint8_t puzzle_modules_connected) { + // Intentionally emtpy + (void)puzzle_modules_connected; } void callback_game_stop() { diff --git a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino index 312e1cc..986417f 100644 --- a/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino +++ b/src/modules/puzzle_testmodule_buttons/puzzle_testmodule_buttons.ino @@ -34,8 +34,9 @@ void loop() { } } -void callback_game_start() { +void callback_game_start(uint8_t puzzle_modules_connected) { // Intentionally emtpy + (void)puzzle_modules_connected; } void callback_game_stop() { diff --git a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino index c0a5890..66e9b75 100644 --- a/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino +++ b/src/modules/puzzle_testmodule_date/puzzle_testmodule_date.ino @@ -27,104 +27,105 @@ uint8_t correct_code[4] = { - DATE_MODE_STOP, - 12, - 34, - 56 + DATE_MODE_STOP, + 12, + 34, + 56 }; ezButton solve_button(DATE_SOLVE_BTN); void setup() { - Serial.begin(115200); - obus_module::setup(OBUS_TYPE_PUZZLE, 123); - solve_button.setDebounceTime(10); - solve_button.setCountMode(COUNT_RISING); - setup_date_module(); + Serial.begin(115200); + obus_module::setup(OBUS_TYPE_PUZZLE, 123); + solve_button.setDebounceTime(10); + solve_button.setCountMode(COUNT_RISING); + setup_date_module(); } obus_can::message message; void loop() { - bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); - // TODO handle update frames (not needed for this module, but could be useful as example code) - solve_button.loop(); - if (solve_button.getCount() > 0) { - uint8_t data[4]; - read_from_date_module(data); + bool received = obus_module::loopPuzzle(&message, callback_game_start, callback_game_stop); + // TODO handle update frames (not needed for this module, but could be useful as example code) + solve_button.loop(); + if (solve_button.getCount() > 0) { + uint8_t data[4]; + read_from_date_module(data); - if (check_date_code(data)) { - Serial.println("SOLVED!"); - obus_module::solve(); - } else { - Serial.println("STRIKE!"); - obus_module::strike(); - } - solve_button.resetCount(); - } + if (check_date_code(data)) { + Serial.println("SOLVED!"); + obus_module::solve(); + } else { + Serial.println("STRIKE!"); + obus_module::strike(); + } + solve_button.resetCount(); + } } void setup_date_module() { - pinMode(DATE_CLOCK_PIN, OUTPUT); - pinMode(DATE_DATA_PIN, INPUT); - pinMode(DATE_READ_PIN, OUTPUT); + pinMode(DATE_CLOCK_PIN, OUTPUT); + pinMode(DATE_DATA_PIN, INPUT); + pinMode(DATE_READ_PIN, OUTPUT); } uint8_t read_value_from_date_module(uint8_t bit_order) { - digitalWrite(DATE_CLOCK_PIN, LOW); - uint8_t value = 0; - for (int i = 0; i < 8; i++) { - uint8_t read_bit = digitalRead(DATE_DATA_PIN); - if (bit_order == LSBFIRST) - value |= read_bit << i; - else - value |= read_bit << (7 - i); - digitalWrite(DATE_CLOCK_PIN, HIGH); - delayMicroseconds(3); - digitalWrite(DATE_CLOCK_PIN, LOW); - } - return value; + digitalWrite(DATE_CLOCK_PIN, LOW); + uint8_t value = 0; + for (int i = 0; i < 8; i++) { + uint8_t read_bit = digitalRead(DATE_DATA_PIN); + if (bit_order == LSBFIRST) + value |= read_bit << i; + else + value |= read_bit << (7 - i); + digitalWrite(DATE_CLOCK_PIN, HIGH); + delayMicroseconds(3); + digitalWrite(DATE_CLOCK_PIN, LOW); + } + return value; } void read_from_date_module(uint8_t* data_out) { - digitalWrite(DATE_READ_PIN, HIGH); - delayMicroseconds(3); - digitalWrite(DATE_READ_PIN, LOW); + digitalWrite(DATE_READ_PIN, HIGH); + delayMicroseconds(3); + digitalWrite(DATE_READ_PIN, LOW); - for (int i = 0; i < 4; i++) { - data_out[i] = read_value_from_date_module(LSBFIRST); - } + for (int i = 0; i < 4; i++) { + data_out[i] = read_value_from_date_module(LSBFIRST); + } - for (int i = 1; i < 4; i++) { - // Convert raw data to human interpretable number - data_out[i] = 10*(data_out[i] & 0x0F) + ((data_out[i] & 0xF0) >> 4); - } + for (int i = 1; i < 4; i++) { + // Convert raw data to human interpretable number + data_out[i] = 10*(data_out[i] & 0x0F) + ((data_out[i] & 0xF0) >> 4); + } - //for (int i = 0; i < 4; i++) { - // Serial.print(data_out[i]); - // Serial.print(" "); - //} + //for (int i = 0; i < 4; i++) { + // Serial.print(data_out[i]); + // Serial.print(" "); + //} - //Serial.println(); - digitalWrite(DATE_CLOCK_PIN, LOW); + //Serial.println(); + digitalWrite(DATE_CLOCK_PIN, LOW); } bool check_date_code(uint8_t* code) { - for (int i = 0; i < 4; i++) { - if (code[i] != correct_code[i]) { - return false; - } - } - return true; + for (int i = 0; i < 4; i++) { + if (code[i] != correct_code[i]) { + return false; + } + } + return true; } -void callback_game_start() { - // Intentionally emtpy +void callback_game_start(uint8_t puzzle_modules_connected) { + // Intentionally emtpy + (void)puzzle_modules_connected; } void callback_game_stop() { - // Intentionally empty + // Intentionally empty }